/*===- TableGen'erated file -------------------------------------*- C++ -*-===*\ |* *| |* Assembly Matcher Source Fragment *| |* *| |* Automatically generated file, do not edit! *| |* *| \*===----------------------------------------------------------------------===*/ #ifdef GET_ASSEMBLER_HEADER #undef GET_ASSEMBLER_HEADER // This should be included into the middle of the declaration of // your subclasses implementation of MCTargetAsmParser. uint64_t ComputeAvailableFeatures(const FeatureBitset& FB) const; void convertToMCInst(unsigned Kind, MCInst &Inst, unsigned Opcode, const OperandVector &Operands); void convertToMapAndConstraints(unsigned Kind, const OperandVector &Operands) override; unsigned MatchInstructionImpl(const OperandVector &Operands, MCInst &Inst, uint64_t &ErrorInfo, bool matchingInlineAsm, unsigned VariantID = 0); #endif // GET_ASSEMBLER_HEADER_INFO #ifdef GET_OPERAND_DIAGNOSTIC_TYPES #undef GET_OPERAND_DIAGNOSTIC_TYPES #endif // GET_OPERAND_DIAGNOSTIC_TYPES #ifdef GET_REGISTER_MATCHER #undef GET_REGISTER_MATCHER // Flags for subtarget features that participate in instruction matching. enum SubtargetFeatureFlag : uint8_t { Feature_None = 0 }; #endif // GET_REGISTER_MATCHER #ifdef GET_SUBTARGET_FEATURE_NAME #undef GET_SUBTARGET_FEATURE_NAME // User-level names for subtarget features that participate in // instruction matching. static const char *getSubtargetFeatureName(uint64_t Val) { return "(unknown)"; } #endif // GET_SUBTARGET_FEATURE_NAME #ifdef GET_MATCHER_IMPLEMENTATION #undef GET_MATCHER_IMPLEMENTATION static void applyMnemonicAliases(StringRef &Mnemonic, uint64_t Features, unsigned VariantID) { switch (VariantID) { case 0: switch (Mnemonic.size()) { default: break; case 5: // 1 string to match. if (memcmp(Mnemonic.data()+0, "cntlz", 5)) break; Mnemonic = "cntlzw"; // "cntlz" return; case 6: // 1 string to match. if (memcmp(Mnemonic.data()+0, "cntlz.", 6)) break; Mnemonic = "cntlzw."; // "cntlz." return; } break; } switch (Mnemonic.size()) { default: break; case 5: // 1 string to match. if (memcmp(Mnemonic.data()+0, "cntlz", 5)) break; Mnemonic = "cntlzw"; // "cntlz" return; case 6: // 1 string to match. if (memcmp(Mnemonic.data()+0, "cntlz.", 6)) break; Mnemonic = "cntlzw."; // "cntlz." return; } } namespace { enum OperatorConversionKind { CVT_Done, CVT_Reg, CVT_Tied, CVT_95_addRegG8RCOperands, CVT_95_addTLSRegOperands, CVT_95_addRegGPRCOperands, CVT_95_addRegGPRCNoR0Operands, CVT_95_addS16ImmOperands, CVT_95_addU16ImmOperands, CVT_95_addBranchTargetOperands, CVT_95_addImmOperands, CVT_95_addRegCRBITRCOperands, CVT_imm_95_0, CVT_imm_95_8, CVT_imm_95_2, CVT_imm_95_10, CVT_imm_95_76, CVT_regCR0, CVT_95_addRegCRRCOperands, CVT_imm_95_79, CVT_imm_95_78, CVT_imm_95_4, CVT_imm_95_7, CVT_imm_95_6, CVT_imm_95_44, CVT_imm_95_47, CVT_imm_95_46, CVT_imm_95_36, CVT_imm_95_39, CVT_imm_95_38, CVT_imm_95_12, CVT_imm_95_15, CVT_imm_95_14, CVT_imm_95_68, CVT_imm_95_71, CVT_imm_95_70, CVT_imm_95_100, CVT_imm_95_103, CVT_imm_95_102, CVT_imm_95_108, CVT_imm_95_111, CVT_imm_95_110, CVT_imm_95_31, CVT_95_addRegGxRCNoR0Operands, CVT_95_addRegGxRCOperands, CVT_regR0, CVT_95_addRegF4RCOperands, CVT_95_addRegF8RCOperands, CVT_95_addRegVRRCOperands, CVT_imm_95_1, CVT_95_addRegVSFRCOperands, CVT_95_addRegVSSRCOperands, CVT_95_addRegVSRCOperands, CVT_imm_95_29, CVT_imm_95_280, CVT_imm_95_128, CVT_imm_95_129, CVT_imm_95_130, CVT_imm_95_131, CVT_imm_95_132, CVT_imm_95_133, CVT_imm_95_134, CVT_imm_95_135, CVT_imm_95_28, CVT_imm_95_19, CVT_imm_95_537, CVT_imm_95_539, CVT_imm_95_541, CVT_imm_95_543, CVT_imm_95_536, CVT_imm_95_538, CVT_imm_95_540, CVT_imm_95_542, CVT_imm_95_1018, CVT_imm_95_981, CVT_imm_95_22, CVT_imm_95_17, CVT_imm_95_18, CVT_imm_95_980, CVT_imm_95_529, CVT_imm_95_531, CVT_imm_95_533, CVT_imm_95_535, CVT_imm_95_528, CVT_imm_95_530, CVT_imm_95_532, CVT_imm_95_534, CVT_imm_95_1019, CVT_95_addCRBitMaskOperands, CVT_imm_95_48, CVT_imm_95_287, CVT_imm_95_5, CVT_imm_95_25, CVT_imm_95_512, CVT_imm_95_272, CVT_imm_95_273, CVT_imm_95_274, CVT_imm_95_275, CVT_imm_95_260, CVT_imm_95_261, CVT_imm_95_262, CVT_imm_95_263, CVT_imm_95_26, CVT_imm_95_27, CVT_imm_95_990, CVT_imm_95_991, CVT_imm_95_268, CVT_imm_95_988, CVT_imm_95_989, CVT_imm_95_269, CVT_imm_95_986, CVT_imm_95_255, CVT_imm_95_284, CVT_imm_95_285, CVT_95_addRegQFRCOperands, CVT_95_addRegQSRCOperands, CVT_95_addRegQBRCOperands, CVT_imm_95_9, CVT_imm_95_13, CVT_imm_95_20, CVT_imm_95_16, CVT_imm_95_24, CVT_imm_95_3, CVT_NUM_CONVERTERS }; enum InstructionConversionKind { Convert__RegG8RC1_0__RegG8RC1_1__TLSReg1_2, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, Convert__RegGPRC1_1__RegGPRC1_2__RegGPRC1_3, Convert__RegGPRC1_0__RegGPRCNoR01_1__S16Imm1_2, Convert__RegGPRC1_0__RegGPRC1_1__S16Imm1_2, Convert__RegGPRC1_1__RegGPRC1_2__S16Imm1_3, Convert__RegGPRC1_0__RegGPRCNoR01_1__S17Imm1_2, Convert__RegGPRC1_0__RegGPRC1_1, Convert__RegGPRC1_1__RegGPRC1_2, Convert__RegGPRC1_1__RegGPRC1_2__U16Imm1_3, Convert_NoOperands, Convert__DirectBr1_0, Convert__U5Imm1_0__RegCRBITRC1_1__CondBr1_2, Convert__U5Imm1_0__RegCRBITRC1_1__imm_95_0, Convert__U5Imm1_0__RegCRBITRC1_1__Imm1_2, Convert__CondBr1_0, Convert__imm_95_0__RegCRBITRC1_0__CondBr1_1, Convert__imm_95_0__RegCRBITRC1_0__imm_95_0, Convert__imm_95_8__RegCRBITRC1_0__CondBr1_1, Convert__imm_95_8__RegCRBITRC1_0__imm_95_0, Convert__imm_95_2__RegCRBITRC1_0__CondBr1_1, Convert__imm_95_2__RegCRBITRC1_0__imm_95_0, Convert__imm_95_10__RegCRBITRC1_0__CondBr1_1, Convert__imm_95_10__RegCRBITRC1_0__imm_95_0, Convert__imm_95_76__regCR0__CondBr1_0, Convert__imm_95_76__RegCRRC1_0__CondBr1_1, Convert__imm_95_79__regCR0__CondBr1_0, Convert__imm_95_79__RegCRRC1_0__CondBr1_1, Convert__imm_95_78__regCR0__CondBr1_0, Convert__imm_95_78__RegCRRC1_0__CondBr1_1, Convert__imm_95_76__regCR0, Convert__imm_95_76__RegCRRC1_0, Convert__imm_95_79__regCR0, Convert__imm_95_79__RegCRRC1_0, Convert__imm_95_78__regCR0, Convert__imm_95_78__RegCRRC1_0, Convert__imm_95_4__RegCRBITRC1_0__CondBr1_1, Convert__imm_95_7__RegCRBITRC1_0__CondBr1_1, Convert__imm_95_6__RegCRBITRC1_0__CondBr1_1, Convert__imm_95_4__RegCRBITRC1_0__imm_95_0, Convert__imm_95_7__RegCRBITRC1_0__imm_95_0, Convert__imm_95_6__RegCRBITRC1_0__imm_95_0, Convert__imm_95_4__regCR0__CondBr1_0, Convert__imm_95_4__RegCRRC1_0__CondBr1_1, Convert__imm_95_7__regCR0__CondBr1_0, Convert__imm_95_7__RegCRRC1_0__CondBr1_1, Convert__imm_95_6__regCR0__CondBr1_0, Convert__imm_95_6__RegCRRC1_0__CondBr1_1, Convert__imm_95_4__regCR0, Convert__imm_95_4__RegCRRC1_0, Convert__imm_95_7__regCR0, Convert__imm_95_7__RegCRRC1_0, Convert__imm_95_6__regCR0, Convert__imm_95_6__RegCRRC1_0, Convert__imm_95_44__regCR0__CondBr1_0, Convert__imm_95_44__RegCRRC1_0__CondBr1_1, Convert__imm_95_47__regCR0__CondBr1_0, Convert__imm_95_47__RegCRRC1_0__CondBr1_1, Convert__imm_95_46__regCR0__CondBr1_0, Convert__imm_95_46__RegCRRC1_0__CondBr1_1, Convert__imm_95_44__regCR0, Convert__imm_95_44__RegCRRC1_0, Convert__imm_95_47__regCR0, Convert__imm_95_47__RegCRRC1_0, Convert__imm_95_46__regCR0, Convert__imm_95_46__RegCRRC1_0, Convert__DirectBr1_0__Imm1_1, Convert__imm_95_36__regCR0__CondBr1_0, Convert__imm_95_36__RegCRRC1_0__CondBr1_1, Convert__imm_95_39__regCR0__CondBr1_0, Convert__imm_95_39__RegCRRC1_0__CondBr1_1, Convert__imm_95_38__regCR0__CondBr1_0, Convert__imm_95_38__RegCRRC1_0__CondBr1_1, Convert__imm_95_36__regCR0, Convert__imm_95_36__RegCRRC1_0, Convert__imm_95_39__regCR0, Convert__imm_95_39__RegCRRC1_0, Convert__imm_95_38__regCR0, Convert__imm_95_38__RegCRRC1_0, Convert__imm_95_12__regCR0__CondBr1_0, Convert__imm_95_12__RegCRRC1_0__CondBr1_1, Convert__imm_95_15__regCR0__CondBr1_0, Convert__imm_95_15__RegCRRC1_0__CondBr1_1, Convert__imm_95_14__regCR0__CondBr1_0, Convert__imm_95_14__RegCRRC1_0__CondBr1_1, Convert__imm_95_12__regCR0, Convert__imm_95_12__RegCRRC1_0, Convert__imm_95_15__regCR0, Convert__imm_95_15__RegCRRC1_0, Convert__imm_95_14__regCR0, Convert__imm_95_14__RegCRRC1_0, Convert__imm_95_68__regCR0__CondBr1_0, Convert__imm_95_68__RegCRRC1_0__CondBr1_1, Convert__imm_95_71__regCR0__CondBr1_0, Convert__imm_95_71__RegCRRC1_0__CondBr1_1, Convert__imm_95_70__regCR0__CondBr1_0, Convert__imm_95_70__RegCRRC1_0__CondBr1_1, Convert__imm_95_68__regCR0, Convert__imm_95_68__RegCRRC1_0, Convert__imm_95_71__regCR0, Convert__imm_95_71__RegCRRC1_0, Convert__imm_95_70__regCR0, Convert__imm_95_70__RegCRRC1_0, Convert__imm_95_100__regCR0__CondBr1_0, Convert__imm_95_100__RegCRRC1_0__CondBr1_1, Convert__imm_95_103__regCR0__CondBr1_0, Convert__imm_95_103__RegCRRC1_0__CondBr1_1, Convert__imm_95_102__regCR0__CondBr1_0, Convert__imm_95_102__RegCRRC1_0__CondBr1_1, Convert__imm_95_100__regCR0, Convert__imm_95_100__RegCRRC1_0, Convert__imm_95_103__regCR0, Convert__imm_95_103__RegCRRC1_0, Convert__imm_95_102__regCR0, Convert__imm_95_102__RegCRRC1_0, Convert__RegG8RC1_0__RegG8RC1_1__RegG8RC1_2, Convert__imm_95_108__regCR0__CondBr1_0, Convert__imm_95_108__RegCRRC1_0__CondBr1_1, Convert__imm_95_111__regCR0__CondBr1_0, Convert__imm_95_111__RegCRRC1_0__CondBr1_1, Convert__imm_95_110__regCR0__CondBr1_0, Convert__imm_95_110__RegCRRC1_0__CondBr1_1, Convert__imm_95_108__regCR0, Convert__imm_95_108__RegCRRC1_0, Convert__imm_95_111__regCR0, Convert__imm_95_111__RegCRRC1_0, Convert__imm_95_110__regCR0, Convert__imm_95_110__RegCRRC1_0, Convert__imm_95_12__RegCRBITRC1_0__CondBr1_1, Convert__imm_95_15__RegCRBITRC1_0__CondBr1_1, Convert__imm_95_14__RegCRBITRC1_0__CondBr1_1, Convert__imm_95_12__RegCRBITRC1_0__imm_95_0, Convert__imm_95_15__RegCRBITRC1_0__imm_95_0, Convert__imm_95_14__RegCRBITRC1_0__imm_95_0, Convert__RegG8RC1_0__RegG8RC1_1__imm_95_0__U6Imm1_2, Convert__RegG8RC1_1__RegG8RC1_2__imm_95_0__U6Imm1_3, Convert__RegG8RC1_0__RegG8RC1_1__U6Imm1_2__U6Imm1_3, Convert__RegG8RC1_1__RegG8RC1_2__U6Imm1_3__U6Imm1_4, Convert__RegGPRC1_0__RegGPRC1_1__U5Imm1_2__U5Imm1_3, Convert__RegGPRC1_1__RegGPRC1_2__U5Imm1_3__U5Imm1_4, Convert__RegGPRC1_0__RegGPRC1_1__imm_95_0__U5Imm1_2__imm_95_31, Convert__RegGPRC1_1__RegGPRC1_2__imm_95_0__U5Imm1_3__imm_95_31, Convert__RegG8RC1_0__RegG8RC1_1__U6Imm1_2, Convert__RegG8RC1_1__RegG8RC1_2__U6Imm1_3, Convert__RegGPRC1_0__RegGPRC1_1__U5Imm1_2, Convert__RegGPRC1_1__RegGPRC1_2__U5Imm1_3, Convert__RegCRRC1_0__RegGPRC1_2__RegGPRC1_3, Convert__RegCRRC1_0__RegG8RC1_2__RegG8RC1_3, Convert__regCR0__RegG8RC1_0__RegG8RC1_1, Convert__RegCRRC1_0__RegG8RC1_1__RegG8RC1_2, Convert__regCR0__RegG8RC1_0__S16Imm1_1, Convert__RegCRRC1_0__RegG8RC1_1__S16Imm1_2, Convert__RegCRRC1_0__RegGPRC1_2__S16Imm1_3, Convert__RegCRRC1_0__RegG8RC1_2__S16Imm1_3, Convert__regCR0__RegG8RC1_0__U16Imm1_1, Convert__RegCRRC1_0__RegG8RC1_1__U16Imm1_2, Convert__RegCRRC1_0__RegGPRC1_2__U16Imm1_3, Convert__RegCRRC1_0__RegG8RC1_2__U16Imm1_3, Convert__regCR0__RegGPRC1_0__RegGPRC1_1, Convert__RegCRRC1_0__RegGPRC1_1__RegGPRC1_2, Convert__regCR0__RegGPRC1_0__U16Imm1_1, Convert__RegCRRC1_0__RegGPRC1_1__U16Imm1_2, Convert__regCR0__RegGPRC1_0__S16Imm1_1, Convert__RegCRRC1_0__RegGPRC1_1__S16Imm1_2, Convert__RegG8RC1_0__RegG8RC1_1, Convert__RegG8RC1_1__RegG8RC1_2, Convert__RegCRBITRC1_0__RegCRBITRC1_1__RegCRBITRC1_2, Convert__RegCRBITRC1_0__RegCRBITRC1_0__RegCRBITRC1_0, Convert__RegCRBITRC1_0__RegCRBITRC1_1__RegCRBITRC1_1, Convert__RegGxRCNoR01_0__RegGxRC1_1, Convert__U5Imm1_2__RegGxRCNoR01_0__RegGxRC1_1, Convert__RegGxRCNoR01_0__RegGxRC1_1__U5Imm1_2, Convert__regR0__regR0, Convert__RegG8RC1_1__RegG8RC1_2__RegG8RC1_3, Convert__U5Imm1_0, Convert__U5Imm1_2__RegGPRC1_0__RegGPRC1_1, Convert__RegGPRC1_0__RegGPRC1_2__U5Imm1_1, Convert__RegGPRC1_0__DispSPE81_1__RegGxRCNoR01_2, Convert__RegGPRC1_0__DispSPE21_1__RegGxRCNoR01_2, Convert__RegGPRC1_0__DispSPE41_1__RegGxRCNoR01_2, Convert__RegGPRC1_0__Imm1_1, Convert__RegGPRC1_0__U5Imm1_1__RegGPRC1_2, Convert__RegF4RC1_0__RegF4RC1_1, Convert__RegF4RC1_1__RegF4RC1_2, Convert__RegF8RC1_0__RegF8RC1_1__RegF8RC1_2, Convert__RegF8RC1_1__RegF8RC1_2__RegF8RC1_3, Convert__RegF4RC1_0__RegF4RC1_1__RegF4RC1_2, Convert__RegF4RC1_1__RegF4RC1_2__RegF4RC1_3, Convert__RegF8RC1_0__RegF8RC1_1, Convert__RegF8RC1_1__RegF8RC1_2, Convert__RegF4RC1_0__RegF8RC1_1, Convert__RegF4RC1_1__RegF8RC1_2, Convert__RegCRRC1_0__RegF4RC1_1__RegF4RC1_2, Convert__RegF8RC1_0__RegF8RC1_1__RegF8RC1_2__RegF8RC1_3, Convert__RegF8RC1_1__RegF8RC1_2__RegF8RC1_3__RegF8RC1_4, Convert__RegF4RC1_0__RegF4RC1_1__RegF4RC1_2__RegF4RC1_3, Convert__RegF4RC1_1__RegF4RC1_2__RegF4RC1_3__RegF4RC1_4, Convert__RegF4RC1_0__RegF8RC1_1__RegF4RC1_2__RegF4RC1_3, Convert__RegF4RC1_1__RegF8RC1_2__RegF4RC1_3__RegF4RC1_4, Convert__U4Imm1_0__RegGxRCNoR01_1__RegGxRC1_2, Convert__RegGPRC1_0__RegGPRCNoR01_1__RegGPRC1_2__RegCRBITRC1_3, Convert__RegGPRC1_0__DispRI1_1__RegGxRCNoR01_2, Convert__RegGPRC1_0__RegGxRCNoR01_1__RegGxRC1_2, Convert__RegGPRC1_0__imm_95_0__DispRI1_1__RegGxRCNoR01_2, Convert__RegGPRC1_0__imm_95_0__RegGxRCNoR01_1__RegGxRC1_2, Convert__RegG8RC1_0__DispRIX1_1__RegGxRCNoR01_2, Convert__RegG8RC1_0__RegGxRCNoR01_1__RegGxRC1_2, Convert__RegG8RC1_0__imm_95_0__DispRIX1_1__RegGxRCNoR01_2, Convert__RegG8RC1_0__imm_95_0__RegGxRCNoR01_1__RegGxRC1_2, Convert__RegF8RC1_0__DispRI1_1__RegGxRCNoR01_2, Convert__RegF8RC1_0__imm_95_0__DispRI1_1__RegGxRCNoR01_2, Convert__RegF8RC1_0__imm_95_0__RegGxRCNoR01_1__RegGxRC1_2, Convert__RegF8RC1_0__RegGxRCNoR01_1__RegGxRC1_2, Convert__RegF4RC1_0__DispRI1_1__RegGxRCNoR01_2, Convert__RegF4RC1_0__imm_95_0__DispRI1_1__RegGxRCNoR01_2, Convert__RegF4RC1_0__imm_95_0__RegGxRCNoR01_1__RegGxRC1_2, Convert__RegF4RC1_0__RegGxRCNoR01_1__RegGxRC1_2, Convert__RegGPRC1_0__S16Imm1_1, Convert__RegGPRC1_0__S17Imm1_1, Convert__RegVRRC1_0__RegGxRCNoR01_1__RegGxRC1_2, Convert__imm_95_1, Convert__RegVSFRC1_0__RegGxRCNoR01_1__RegGxRC1_2, Convert__RegVSSRC1_0__RegGxRCNoR01_1__RegGxRC1_2, Convert__RegVSRC1_0__RegGxRCNoR01_1__RegGxRC1_2, Convert__imm_95_0, Convert__RegCRRC1_0__RegCRRC1_1, Convert__RegGPRC1_0__imm_95_29, Convert__RegGPRC1_0__imm_95_280, Convert__RegGPRC1_0__U10Imm1_1__imm_95_0, Convert__RegGPRC1_0__imm_95_128, Convert__RegGPRC1_0__imm_95_129, Convert__RegGPRC1_0__imm_95_130, Convert__RegGPRC1_0__imm_95_131, Convert__RegGPRC1_0__imm_95_132, Convert__RegGPRC1_0__imm_95_133, Convert__RegGPRC1_0__imm_95_134, Convert__RegGPRC1_0__imm_95_135, Convert__RegGPRC1_0__imm_95_28, Convert__RegGPRC1_0, Convert__RegGPRC1_0__imm_95_19, Convert__RegGPRC1_0__imm_95_537, Convert__RegGPRC1_0__imm_95_539, Convert__RegGPRC1_0__imm_95_541, Convert__RegGPRC1_0__imm_95_543, Convert__RegGPRC1_0__imm_95_536, Convert__RegGPRC1_0__imm_95_538, Convert__RegGPRC1_0__imm_95_540, Convert__RegGPRC1_0__imm_95_542, Convert__RegGPRC1_0__imm_95_1018, Convert__RegGPRC1_0__imm_95_981, Convert__RegGPRC1_0__imm_95_22, Convert__RegGPRC1_0__imm_95_17, Convert__RegGPRC1_0__imm_95_18, Convert__RegGPRC1_0__imm_95_980, Convert__RegF8RC1_0, Convert__RegF8RC1_1, Convert__RegGPRC1_0__imm_95_529, Convert__RegGPRC1_0__imm_95_531, Convert__RegGPRC1_0__imm_95_533, Convert__RegGPRC1_0__imm_95_535, Convert__RegGPRC1_0__imm_95_528, Convert__RegGPRC1_0__imm_95_530, Convert__RegGPRC1_0__imm_95_532, Convert__RegGPRC1_0__imm_95_534, Convert__RegGPRC1_0__imm_95_1019, Convert__RegGPRC1_0__CRBitMask1_1, Convert__RegGPRC1_0__imm_95_48, Convert__RegGPRC1_0__imm_95_287, Convert__RegGPRC1_0__imm_95_5, Convert__RegGPRC1_0__imm_95_4, Convert__RegGPRC1_0__imm_95_25, Convert__RegGPRC1_0__imm_95_512, Convert__RegGPRC1_0__imm_95_272, Convert__RegGPRC1_0__imm_95_273, Convert__RegGPRC1_0__imm_95_274, Convert__RegGPRC1_0__imm_95_275, Convert__RegGPRC1_0__imm_95_260, Convert__RegGPRC1_0__imm_95_261, Convert__RegGPRC1_0__imm_95_262, Convert__RegGPRC1_0__imm_95_263, Convert__RegGPRC1_0__U4Imm1_1, Convert__RegGPRC1_0__imm_95_26, Convert__RegGPRC1_0__imm_95_27, Convert__RegGPRC1_0__imm_95_990, Convert__RegGPRC1_0__imm_95_991, Convert__RegGPRC1_0__imm_95_268, Convert__RegGPRC1_0__imm_95_988, Convert__RegGPRC1_0__imm_95_989, Convert__RegGPRC1_0__imm_95_269, Convert__RegGPRC1_0__imm_95_986, Convert__RegVRRC1_0, Convert__RegG8RC1_0__RegVSFRC1_1, Convert__RegGPRC1_0__RegVSFRC1_1, Convert__RegGPRC1_0__imm_95_1, Convert__RegG8RC1_0__RegG8RC1_1__RegG8RC1_1, Convert__RegG8RC1_1__RegG8RC1_2__RegG8RC1_2, Convert__imm_95_29__RegGPRC1_0, Convert__imm_95_280__RegGPRC1_0, Convert__imm_95_28__RegGPRC1_0, Convert__imm_95_255__RegG8RC1_0, Convert__Imm1_0__RegGPRC1_1, Convert__imm_95_19__RegGPRC1_0, Convert__imm_95_537__RegGPRC1_1, Convert__imm_95_539__RegGPRC1_1, Convert__imm_95_541__RegGPRC1_1, Convert__imm_95_543__RegGPRC1_1, Convert__imm_95_536__RegGPRC1_1, Convert__imm_95_538__RegGPRC1_1, Convert__imm_95_540__RegGPRC1_1, Convert__imm_95_542__RegGPRC1_1, Convert__imm_95_1018__RegGPRC1_0, Convert__RegGPRC1_1__Imm1_0, Convert__imm_95_981__RegGPRC1_0, Convert__imm_95_22__RegGPRC1_0, Convert__imm_95_17__RegGPRC1_0, Convert__imm_95_18__RegGPRC1_0, Convert__imm_95_980__RegGPRC1_0, Convert__Imm1_0__RegF8RC1_1__imm_95_0__imm_95_0, Convert__Imm1_1__RegF8RC1_2__imm_95_0__imm_95_0, Convert__Imm1_0__RegF8RC1_1__Imm1_2__Imm1_3, Convert__Imm1_1__RegF8RC1_2__Imm1_3__Imm1_4, Convert__RegCRRC1_0__Imm1_1__imm_95_0, Convert__RegCRRC1_1__Imm1_2__imm_95_0, Convert__RegCRRC1_0__Imm1_1__Imm1_2, Convert__RegCRRC1_1__Imm1_2__Imm1_3, Convert__imm_95_529__RegGPRC1_1, Convert__imm_95_531__RegGPRC1_1, Convert__imm_95_533__RegGPRC1_1, Convert__imm_95_535__RegGPRC1_1, Convert__imm_95_528__RegGPRC1_1, Convert__imm_95_530__RegGPRC1_1, Convert__imm_95_532__RegGPRC1_1, Convert__imm_95_534__RegGPRC1_1, Convert__imm_95_1019__RegGPRC1_0, Convert__RegGPRC1_0__imm_95_0, Convert__CRBitMask1_0__RegGPRC1_1, Convert__imm_95_48__RegGPRC1_0, Convert__imm_95_25__RegGPRC1_0, Convert__imm_95_512__RegGPRC1_0, Convert__imm_95_272__RegGPRC1_1, Convert__imm_95_273__RegGPRC1_1, Convert__imm_95_274__RegGPRC1_1, Convert__imm_95_275__RegGPRC1_1, Convert__imm_95_260__RegGPRC1_1, Convert__imm_95_261__RegGPRC1_1, Convert__imm_95_262__RegGPRC1_1, Convert__imm_95_263__RegGPRC1_1, Convert__imm_95_272__RegGPRC1_0, Convert__imm_95_273__RegGPRC1_0, Convert__imm_95_274__RegGPRC1_0, Convert__imm_95_275__RegGPRC1_0, Convert__imm_95_260__RegGPRC1_0, Convert__imm_95_261__RegGPRC1_0, Convert__imm_95_262__RegGPRC1_0, Convert__imm_95_263__RegGPRC1_0, Convert__RegGPRC1_1__U4Imm1_0, Convert__imm_95_26__RegGPRC1_0, Convert__imm_95_27__RegGPRC1_0, Convert__imm_95_990__RegGPRC1_0, Convert__imm_95_991__RegGPRC1_0, Convert__imm_95_988__RegGPRC1_0, Convert__imm_95_284__RegGPRC1_0, Convert__imm_95_989__RegGPRC1_0, Convert__imm_95_285__RegGPRC1_0, Convert__imm_95_986__RegGPRC1_0, Convert__RegVSFRC1_0__RegG8RC1_1, Convert__RegVSFRC1_0__RegGPRC1_1, Convert__imm_95_1__RegGPRC1_0, Convert__RegGPRC1_0__RegGPRC1_1__U16Imm1_2, Convert__imm_95_2, Convert__RegQFRC1_0__RegQFRC1_1__RegQFRC1_2__U2Imm1_3, Convert__RegQFRC1_0__RegQFRC1_1__U2Imm1_2, Convert__RegQFRC1_0__RegQFRC1_1, Convert__RegQFRC1_0__RegQFRC1_1__RegQFRC1_2, Convert__RegQSRC1_0__RegQSRC1_1__RegQSRC1_2, Convert__RegQBRC1_0__RegQBRC1_1__RegQBRC1_2__imm_95_1, Convert__RegQBRC1_0__RegQBRC1_1__RegQBRC1_2__imm_95_4, Convert__RegQBRC1_0__RegQBRC1_0__RegQBRC1_0__imm_95_0, Convert__RegQBRC1_0__RegQFRC1_1__RegQFRC1_2, Convert__RegQBRC1_0__RegQBRC1_1__RegQBRC1_1__imm_95_5, Convert__RegQBRC1_0__RegQBRC1_1__RegQBRC1_2__imm_95_9, Convert__RegQBRC1_0__RegQBRC1_1__RegQBRC1_2__U12Imm1_3, Convert__RegQFRC1_0__RegQFRC1_1__RegQFRC1_3__RegQFRC1_2, Convert__RegQSRC1_0__RegQSRC1_1__RegQSRC1_3__RegQSRC1_2, Convert__RegQBRC1_0__RegQBRC1_1__RegQBRC1_2__imm_95_14, Convert__RegQBRC1_0__RegQBRC1_1__RegQBRC1_2__imm_95_8, Convert__RegQBRC1_0__RegQBRC1_1__RegQBRC1_1__imm_95_10, Convert__RegQBRC1_0__RegQBRC1_1__RegQBRC1_2__imm_95_7, Convert__RegQBRC1_0__RegQBRC1_1__RegQBRC1_2__imm_95_13, Convert__RegQFRC1_0__RegQFRC1_1__RegQFRC1_2__RegQFRC1_3, Convert__RegQSRC1_0__RegQFRC1_1, Convert__RegQFRC1_0__RegQBRC1_1__RegQFRC1_3__RegQFRC1_2, Convert__RegQBRC1_0__RegQBRC1_0__RegQBRC1_0__imm_95_15, Convert__RegQBRC1_0__RegQBRC1_1__RegQBRC1_2__imm_95_6, Convert__RegQFRC1_0__U12Imm1_1, Convert__RegQFRC1_0__RegGxRCNoR01_1__RegGxRC1_2, Convert__RegQFRC1_0__imm_95_0__RegGxRCNoR01_1__RegGxRC1_2, Convert__RegQSRC1_0__imm_95_0__RegGxRCNoR01_1__RegGxRC1_2, Convert__imm_95_0__RegQFRC1_0__RegGxRCNoR01_1__RegGxRC1_2, Convert__imm_95_0__RegQSRC1_0__RegGxRCNoR01_1__RegGxRC1_2, Convert__U1Imm1_0, Convert__RegG8RC1_0__RegG8RC1_1__RegGPRC1_2__U6Imm1_3, Convert__RegG8RC1_1__RegG8RC1_2__RegGPRC1_3__U6Imm1_4, Convert__RegG8RC1_0__Tie0__RegG8RC1_1__U6Imm1_2__U6Imm1_3, Convert__RegG8RC1_1__Tie0__RegG8RC1_2__U6Imm1_3__U6Imm1_4, Convert__RegG8RC1_0__RegG8RC1_1__U5Imm1_2__Imm1_3, Convert__RegG8RC1_1__RegG8RC1_2__U5Imm1_3__Imm1_4, Convert__RegGPRC1_0__Tie0__RegGPRC1_1__U5Imm1_2__U5Imm1_3__U5Imm1_4, Convert__RegGPRC1_1__Tie0__RegGPRC1_2__U5Imm1_3__U5Imm1_4__U5Imm1_5, Convert__RegGPRC1_0__RegGPRC1_1__U5Imm1_2__U5Imm1_3__U5Imm1_4, Convert__RegGPRC1_1__RegGPRC1_2__U5Imm1_3__U5Imm1_4__U5Imm1_5, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2__U5Imm1_3__U5Imm1_4, Convert__RegGPRC1_1__RegGPRC1_2__RegGPRC1_3__U5Imm1_4__U5Imm1_5, Convert__RegG8RC1_0__RegG8RC1_1__RegGPRC1_2__imm_95_0, Convert__RegG8RC1_1__RegG8RC1_2__RegGPRC1_3__imm_95_0, Convert__RegG8RC1_0__RegG8RC1_1__U6Imm1_2__imm_95_0, Convert__RegG8RC1_1__RegG8RC1_2__U6Imm1_3__imm_95_0, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2__imm_95_0__imm_95_31, Convert__RegGPRC1_1__RegGPRC1_2__RegGPRC1_3__imm_95_0__imm_95_31, Convert__RegGPRC1_0__RegGPRC1_1__U5Imm1_2__imm_95_0__imm_95_31, Convert__RegGPRC1_1__RegGPRC1_2__U5Imm1_3__imm_95_0__imm_95_31, Convert__Imm1_0, Convert__RegG8RC1_0__RegG8RC1_1__RegGPRC1_2, Convert__RegG8RC1_1__RegG8RC1_2__RegGPRC1_3, Convert__RegGPRC1_1__RegGxRCNoR01_2__RegGxRC1_3, Convert__imm_95_0__RegGPRC1_0__DispRI1_1__RegGxRCNoR01_2, Convert__imm_95_0__RegGPRC1_0__RegGxRCNoR01_1__RegGxRC1_2, Convert__RegG8RC1_1__RegGxRCNoR01_2__RegGxRC1_3, Convert__imm_95_0__RegG8RC1_0__DispRIX1_1__RegGxRCNoR01_2, Convert__imm_95_0__RegG8RC1_0__RegGxRCNoR01_1__RegGxRC1_2, Convert__imm_95_0__RegF8RC1_0__DispRI1_1__RegGxRCNoR01_2, Convert__imm_95_0__RegF8RC1_0__RegGxRCNoR01_1__RegGxRC1_2, Convert__imm_95_0__RegF4RC1_0__DispRI1_1__RegGxRCNoR01_2, Convert__imm_95_0__RegF4RC1_0__RegGxRCNoR01_1__RegGxRC1_2, Convert__RegG8RC1_0__RegG8RC1_2__RegG8RC1_1, Convert__RegG8RC1_1__RegG8RC1_3__RegG8RC1_2, Convert__imm_95_0__RegGPRC1_1, Convert__imm_95_0__U5Imm1_1__RegGPRC1_2__RegGPRC1_3, Convert__imm_95_0__U5Imm1_1__RegGPRC1_2__U5Imm1_3, Convert__imm_95_0__U1Imm1_1, Convert__RegCRRC1_0, Convert__U5Imm1_0__RegG8RC1_1__RegG8RC1_2, Convert__imm_95_4__RegG8RC1_0__RegG8RC1_1, Convert__imm_95_4__RegG8RC1_0__S16Imm1_1, Convert__imm_95_12__RegG8RC1_0__RegG8RC1_1, Convert__imm_95_12__RegG8RC1_0__S16Imm1_1, Convert__imm_95_8__RegG8RC1_0__RegG8RC1_1, Convert__imm_95_8__RegG8RC1_0__S16Imm1_1, Convert__U5Imm1_0__RegG8RC1_1__S16Imm1_2, Convert__imm_95_20__RegG8RC1_0__RegG8RC1_1, Convert__imm_95_20__RegG8RC1_0__S16Imm1_1, Convert__imm_95_5__RegG8RC1_0__RegG8RC1_1, Convert__imm_95_5__RegG8RC1_0__S16Imm1_1, Convert__imm_95_1__RegG8RC1_0__RegG8RC1_1, Convert__imm_95_1__RegG8RC1_0__S16Imm1_1, Convert__imm_95_6__RegG8RC1_0__RegG8RC1_1, Convert__imm_95_6__RegG8RC1_0__S16Imm1_1, Convert__imm_95_2__RegG8RC1_0__RegG8RC1_1, Convert__imm_95_2__RegG8RC1_0__S16Imm1_1, Convert__imm_95_16__RegG8RC1_0__RegG8RC1_1, Convert__imm_95_16__RegG8RC1_0__S16Imm1_1, Convert__imm_95_24__RegG8RC1_0__RegG8RC1_1, Convert__imm_95_24__RegG8RC1_0__S16Imm1_1, Convert__imm_95_31__RegG8RC1_0__RegG8RC1_1, Convert__imm_95_31__RegG8RC1_0__S16Imm1_1, Convert__regR0__RegGPRC1_0, Convert__RegGPRC1_1__RegGPRC1_0, Convert__RegGPRC1_0__RegGPRC1_1__Imm1_2, Convert__RegGPRC1_0__RegGPRC1_1__imm_95_0, Convert__RegGPRC1_0__RegGPRC1_1__imm_95_1, Convert__U5Imm1_0__RegGPRC1_1__RegGPRC1_2, Convert__imm_95_4__RegGPRC1_0__RegGPRC1_1, Convert__imm_95_4__RegGPRC1_0__S16Imm1_1, Convert__imm_95_12__RegGPRC1_0__RegGPRC1_1, Convert__imm_95_12__RegGPRC1_0__S16Imm1_1, Convert__imm_95_8__RegGPRC1_0__RegGPRC1_1, Convert__imm_95_8__RegGPRC1_0__S16Imm1_1, Convert__U5Imm1_0__RegGPRC1_1__S16Imm1_2, Convert__imm_95_20__RegGPRC1_0__RegGPRC1_1, Convert__imm_95_20__RegGPRC1_0__S16Imm1_1, Convert__imm_95_5__RegGPRC1_0__RegGPRC1_1, Convert__imm_95_5__RegGPRC1_0__S16Imm1_1, Convert__imm_95_1__RegGPRC1_0__RegGPRC1_1, Convert__imm_95_1__RegGPRC1_0__S16Imm1_1, Convert__imm_95_6__RegGPRC1_0__RegGPRC1_1, Convert__imm_95_6__RegGPRC1_0__S16Imm1_1, Convert__imm_95_2__RegGPRC1_0__RegGPRC1_1, Convert__imm_95_2__RegGPRC1_0__S16Imm1_1, Convert__imm_95_16__RegGPRC1_0__RegGPRC1_1, Convert__imm_95_16__RegGPRC1_0__S16Imm1_1, Convert__imm_95_24__RegGPRC1_0__RegGPRC1_1, Convert__imm_95_24__RegGPRC1_0__S16Imm1_1, Convert__imm_95_31__RegGPRC1_0__RegGPRC1_1, Convert__imm_95_31__RegGPRC1_0__S16Imm1_1, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2__RegVRRC1_3, Convert__RegVRRC1_0__U5Imm1_2__RegVRRC1_1, Convert__RegVRRC1_0__RegVRRC1_1, Convert__RegVRRC1_1__RegVRRC1_2__RegVRRC1_3, Convert__RegVRRC1_0__RegVRRC1_1__U1Imm1_2__U4Imm1_3, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2__U5Imm1_3, Convert__RegVRRC1_0__S5Imm1_1, Convert__regR0__regR0__imm_95_0, Convert__RegVSFRC1_0__RegVSFRC1_1, Convert__RegVSFRC1_0__RegVSFRC1_1__RegVSFRC1_2, Convert__RegVSSRC1_0__RegVSSRC1_1__RegVSSRC1_2, Convert__RegCRRC1_0__RegVSFRC1_1__RegVSFRC1_2, Convert__RegVSRC1_0__RegVSSRC1_1, Convert__RegVSSRC1_0__RegVSRC1_1, Convert__RegVSSRC1_0__RegVSFRC1_1, Convert__RegVSFRC1_0__Tie0__RegVSFRC1_1__RegVSFRC1_2, Convert__RegVSSRC1_0__Tie0__RegVSSRC1_1__RegVSSRC1_2, Convert__RegVSSRC1_0__RegVSSRC1_1, Convert__RegCRRC1_0__RegVSFRC1_1, Convert__RegVSRC1_0__RegVSRC1_1, Convert__RegVSRC1_0__RegVSRC1_1__RegVSRC1_2, Convert__RegVSRC1_1__RegVSRC1_2__RegVSRC1_3, Convert__RegVSRC1_0__Tie0__RegVSRC1_1__RegVSRC1_2, Convert__RegVSRC1_0__RegVSRC1_1__RegVSRC1_1, Convert__RegCRRC1_0__RegVSRC1_1__RegVSRC1_2, Convert__RegCRRC1_0__RegVSRC1_1, Convert__RegVSRC1_0__RegVSRC1_1__RegVSRC1_2__imm_95_0, Convert__RegVSRC1_0__RegVSRC1_1__RegVSRC1_2__imm_95_3, Convert__RegVSRC1_0__RegVSRC1_1__RegVSRC1_2__U2Imm1_3, Convert__RegVSRC1_0__RegVSRC1_1__RegVSRC1_2__RegVSRC1_3, Convert__RegVSRC1_0__RegVSRC1_1__RegVSRC1_1__imm_95_0, Convert__RegVSRC1_0__RegVSRC1_1__RegVSRC1_1__imm_95_3, Convert__RegVSRC1_0__RegVSRC1_1__U2Imm1_2, Convert__RegVSRC1_0__RegVSRC1_1__RegVSRC1_1__imm_95_2, CVT_NUM_SIGNATURES }; } // end anonymous namespace static const uint8_t ConversionTable[CVT_NUM_SIGNATURES][13] = { // Convert__RegG8RC1_0__RegG8RC1_1__TLSReg1_2 { CVT_95_addRegG8RCOperands, 1, CVT_95_addRegG8RCOperands, 2, CVT_95_addTLSRegOperands, 3, CVT_Done }, // Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2 { CVT_95_addRegGPRCOperands, 1, CVT_95_addRegGPRCOperands, 2, CVT_95_addRegGPRCOperands, 3, CVT_Done }, // Convert__RegGPRC1_1__RegGPRC1_2__RegGPRC1_3 { CVT_95_addRegGPRCOperands, 2, CVT_95_addRegGPRCOperands, 3, CVT_95_addRegGPRCOperands, 4, CVT_Done }, // Convert__RegGPRC1_0__RegGPRCNoR01_1__S16Imm1_2 { CVT_95_addRegGPRCOperands, 1, CVT_95_addRegGPRCNoR0Operands, 2, CVT_95_addS16ImmOperands, 3, CVT_Done }, // Convert__RegGPRC1_0__RegGPRC1_1__S16Imm1_2 { CVT_95_addRegGPRCOperands, 1, CVT_95_addRegGPRCOperands, 2, CVT_95_addS16ImmOperands, 3, CVT_Done }, // Convert__RegGPRC1_1__RegGPRC1_2__S16Imm1_3 { CVT_95_addRegGPRCOperands, 2, CVT_95_addRegGPRCOperands, 3, CVT_95_addS16ImmOperands, 4, CVT_Done }, // Convert__RegGPRC1_0__RegGPRCNoR01_1__S17Imm1_2 { CVT_95_addRegGPRCOperands, 1, CVT_95_addRegGPRCNoR0Operands, 2, CVT_95_addS16ImmOperands, 3, CVT_Done }, // Convert__RegGPRC1_0__RegGPRC1_1 { CVT_95_addRegGPRCOperands, 1, CVT_95_addRegGPRCOperands, 2, CVT_Done }, // Convert__RegGPRC1_1__RegGPRC1_2 { CVT_95_addRegGPRCOperands, 2, CVT_95_addRegGPRCOperands, 3, CVT_Done }, // Convert__RegGPRC1_1__RegGPRC1_2__U16Imm1_3 { CVT_95_addRegGPRCOperands, 2, CVT_95_addRegGPRCOperands, 3, CVT_95_addU16ImmOperands, 4, CVT_Done }, // Convert_NoOperands { CVT_Done }, // Convert__DirectBr1_0 { CVT_95_addBranchTargetOperands, 1, CVT_Done }, // Convert__U5Imm1_0__RegCRBITRC1_1__CondBr1_2 { CVT_95_addImmOperands, 1, CVT_95_addRegCRBITRCOperands, 2, CVT_95_addBranchTargetOperands, 3, CVT_Done }, // Convert__U5Imm1_0__RegCRBITRC1_1__imm_95_0 { CVT_95_addImmOperands, 1, CVT_95_addRegCRBITRCOperands, 2, CVT_imm_95_0, 0, CVT_Done }, // Convert__U5Imm1_0__RegCRBITRC1_1__Imm1_2 { CVT_95_addImmOperands, 1, CVT_95_addRegCRBITRCOperands, 2, CVT_95_addImmOperands, 3, CVT_Done }, // Convert__CondBr1_0 { CVT_95_addBranchTargetOperands, 1, CVT_Done }, // Convert__imm_95_0__RegCRBITRC1_0__CondBr1_1 { CVT_imm_95_0, 0, CVT_95_addRegCRBITRCOperands, 1, CVT_95_addBranchTargetOperands, 2, CVT_Done }, // Convert__imm_95_0__RegCRBITRC1_0__imm_95_0 { CVT_imm_95_0, 0, CVT_95_addRegCRBITRCOperands, 1, CVT_imm_95_0, 0, CVT_Done }, // Convert__imm_95_8__RegCRBITRC1_0__CondBr1_1 { CVT_imm_95_8, 0, CVT_95_addRegCRBITRCOperands, 1, CVT_95_addBranchTargetOperands, 2, CVT_Done }, // Convert__imm_95_8__RegCRBITRC1_0__imm_95_0 { CVT_imm_95_8, 0, CVT_95_addRegCRBITRCOperands, 1, CVT_imm_95_0, 0, CVT_Done }, // Convert__imm_95_2__RegCRBITRC1_0__CondBr1_1 { CVT_imm_95_2, 0, CVT_95_addRegCRBITRCOperands, 1, CVT_95_addBranchTargetOperands, 2, CVT_Done }, // Convert__imm_95_2__RegCRBITRC1_0__imm_95_0 { CVT_imm_95_2, 0, CVT_95_addRegCRBITRCOperands, 1, CVT_imm_95_0, 0, CVT_Done }, // Convert__imm_95_10__RegCRBITRC1_0__CondBr1_1 { CVT_imm_95_10, 0, CVT_95_addRegCRBITRCOperands, 1, CVT_95_addBranchTargetOperands, 2, CVT_Done }, // Convert__imm_95_10__RegCRBITRC1_0__imm_95_0 { CVT_imm_95_10, 0, CVT_95_addRegCRBITRCOperands, 1, CVT_imm_95_0, 0, CVT_Done }, // Convert__imm_95_76__regCR0__CondBr1_0 { CVT_imm_95_76, 0, CVT_regCR0, 0, CVT_95_addBranchTargetOperands, 1, CVT_Done }, // Convert__imm_95_76__RegCRRC1_0__CondBr1_1 { CVT_imm_95_76, 0, CVT_95_addRegCRRCOperands, 1, CVT_95_addBranchTargetOperands, 2, CVT_Done }, // Convert__imm_95_79__regCR0__CondBr1_0 { CVT_imm_95_79, 0, CVT_regCR0, 0, CVT_95_addBranchTargetOperands, 1, CVT_Done }, // Convert__imm_95_79__RegCRRC1_0__CondBr1_1 { CVT_imm_95_79, 0, CVT_95_addRegCRRCOperands, 1, CVT_95_addBranchTargetOperands, 2, CVT_Done }, // Convert__imm_95_78__regCR0__CondBr1_0 { CVT_imm_95_78, 0, CVT_regCR0, 0, CVT_95_addBranchTargetOperands, 1, CVT_Done }, // Convert__imm_95_78__RegCRRC1_0__CondBr1_1 { CVT_imm_95_78, 0, CVT_95_addRegCRRCOperands, 1, CVT_95_addBranchTargetOperands, 2, CVT_Done }, // Convert__imm_95_76__regCR0 { CVT_imm_95_76, 0, CVT_regCR0, 0, CVT_Done }, // Convert__imm_95_76__RegCRRC1_0 { CVT_imm_95_76, 0, CVT_95_addRegCRRCOperands, 1, CVT_Done }, // Convert__imm_95_79__regCR0 { CVT_imm_95_79, 0, CVT_regCR0, 0, CVT_Done }, // Convert__imm_95_79__RegCRRC1_0 { CVT_imm_95_79, 0, CVT_95_addRegCRRCOperands, 1, CVT_Done }, // Convert__imm_95_78__regCR0 { CVT_imm_95_78, 0, CVT_regCR0, 0, CVT_Done }, // Convert__imm_95_78__RegCRRC1_0 { CVT_imm_95_78, 0, CVT_95_addRegCRRCOperands, 1, CVT_Done }, // Convert__imm_95_4__RegCRBITRC1_0__CondBr1_1 { CVT_imm_95_4, 0, CVT_95_addRegCRBITRCOperands, 1, CVT_95_addBranchTargetOperands, 2, CVT_Done }, // Convert__imm_95_7__RegCRBITRC1_0__CondBr1_1 { CVT_imm_95_7, 0, CVT_95_addRegCRBITRCOperands, 1, CVT_95_addBranchTargetOperands, 2, CVT_Done }, // Convert__imm_95_6__RegCRBITRC1_0__CondBr1_1 { CVT_imm_95_6, 0, CVT_95_addRegCRBITRCOperands, 1, CVT_95_addBranchTargetOperands, 2, CVT_Done }, // Convert__imm_95_4__RegCRBITRC1_0__imm_95_0 { CVT_imm_95_4, 0, CVT_95_addRegCRBITRCOperands, 1, CVT_imm_95_0, 0, CVT_Done }, // Convert__imm_95_7__RegCRBITRC1_0__imm_95_0 { CVT_imm_95_7, 0, CVT_95_addRegCRBITRCOperands, 1, CVT_imm_95_0, 0, CVT_Done }, // Convert__imm_95_6__RegCRBITRC1_0__imm_95_0 { CVT_imm_95_6, 0, CVT_95_addRegCRBITRCOperands, 1, CVT_imm_95_0, 0, CVT_Done }, // Convert__imm_95_4__regCR0__CondBr1_0 { CVT_imm_95_4, 0, CVT_regCR0, 0, CVT_95_addBranchTargetOperands, 1, CVT_Done }, // Convert__imm_95_4__RegCRRC1_0__CondBr1_1 { CVT_imm_95_4, 0, CVT_95_addRegCRRCOperands, 1, CVT_95_addBranchTargetOperands, 2, CVT_Done }, // Convert__imm_95_7__regCR0__CondBr1_0 { CVT_imm_95_7, 0, CVT_regCR0, 0, CVT_95_addBranchTargetOperands, 1, CVT_Done }, // Convert__imm_95_7__RegCRRC1_0__CondBr1_1 { CVT_imm_95_7, 0, CVT_95_addRegCRRCOperands, 1, CVT_95_addBranchTargetOperands, 2, CVT_Done }, // Convert__imm_95_6__regCR0__CondBr1_0 { CVT_imm_95_6, 0, CVT_regCR0, 0, CVT_95_addBranchTargetOperands, 1, CVT_Done }, // Convert__imm_95_6__RegCRRC1_0__CondBr1_1 { CVT_imm_95_6, 0, CVT_95_addRegCRRCOperands, 1, CVT_95_addBranchTargetOperands, 2, CVT_Done }, // Convert__imm_95_4__regCR0 { CVT_imm_95_4, 0, CVT_regCR0, 0, CVT_Done }, // Convert__imm_95_4__RegCRRC1_0 { CVT_imm_95_4, 0, CVT_95_addRegCRRCOperands, 1, CVT_Done }, // Convert__imm_95_7__regCR0 { CVT_imm_95_7, 0, CVT_regCR0, 0, CVT_Done }, // Convert__imm_95_7__RegCRRC1_0 { CVT_imm_95_7, 0, CVT_95_addRegCRRCOperands, 1, CVT_Done }, // Convert__imm_95_6__regCR0 { CVT_imm_95_6, 0, CVT_regCR0, 0, CVT_Done }, // Convert__imm_95_6__RegCRRC1_0 { CVT_imm_95_6, 0, CVT_95_addRegCRRCOperands, 1, CVT_Done }, // Convert__imm_95_44__regCR0__CondBr1_0 { CVT_imm_95_44, 0, CVT_regCR0, 0, CVT_95_addBranchTargetOperands, 1, CVT_Done }, // Convert__imm_95_44__RegCRRC1_0__CondBr1_1 { CVT_imm_95_44, 0, CVT_95_addRegCRRCOperands, 1, CVT_95_addBranchTargetOperands, 2, CVT_Done }, // Convert__imm_95_47__regCR0__CondBr1_0 { CVT_imm_95_47, 0, CVT_regCR0, 0, CVT_95_addBranchTargetOperands, 1, CVT_Done }, // Convert__imm_95_47__RegCRRC1_0__CondBr1_1 { CVT_imm_95_47, 0, CVT_95_addRegCRRCOperands, 1, CVT_95_addBranchTargetOperands, 2, CVT_Done }, // Convert__imm_95_46__regCR0__CondBr1_0 { CVT_imm_95_46, 0, CVT_regCR0, 0, CVT_95_addBranchTargetOperands, 1, CVT_Done }, // Convert__imm_95_46__RegCRRC1_0__CondBr1_1 { CVT_imm_95_46, 0, CVT_95_addRegCRRCOperands, 1, CVT_95_addBranchTargetOperands, 2, CVT_Done }, // Convert__imm_95_44__regCR0 { CVT_imm_95_44, 0, CVT_regCR0, 0, CVT_Done }, // Convert__imm_95_44__RegCRRC1_0 { CVT_imm_95_44, 0, CVT_95_addRegCRRCOperands, 1, CVT_Done }, // Convert__imm_95_47__regCR0 { CVT_imm_95_47, 0, CVT_regCR0, 0, CVT_Done }, // Convert__imm_95_47__RegCRRC1_0 { CVT_imm_95_47, 0, CVT_95_addRegCRRCOperands, 1, CVT_Done }, // Convert__imm_95_46__regCR0 { CVT_imm_95_46, 0, CVT_regCR0, 0, CVT_Done }, // Convert__imm_95_46__RegCRRC1_0 { CVT_imm_95_46, 0, CVT_95_addRegCRRCOperands, 1, CVT_Done }, // Convert__DirectBr1_0__Imm1_1 { CVT_95_addBranchTargetOperands, 1, CVT_95_addImmOperands, 2, CVT_Done }, // Convert__imm_95_36__regCR0__CondBr1_0 { CVT_imm_95_36, 0, CVT_regCR0, 0, CVT_95_addBranchTargetOperands, 1, CVT_Done }, // Convert__imm_95_36__RegCRRC1_0__CondBr1_1 { CVT_imm_95_36, 0, CVT_95_addRegCRRCOperands, 1, CVT_95_addBranchTargetOperands, 2, CVT_Done }, // Convert__imm_95_39__regCR0__CondBr1_0 { CVT_imm_95_39, 0, CVT_regCR0, 0, CVT_95_addBranchTargetOperands, 1, CVT_Done }, // Convert__imm_95_39__RegCRRC1_0__CondBr1_1 { CVT_imm_95_39, 0, CVT_95_addRegCRRCOperands, 1, CVT_95_addBranchTargetOperands, 2, CVT_Done }, // Convert__imm_95_38__regCR0__CondBr1_0 { CVT_imm_95_38, 0, CVT_regCR0, 0, CVT_95_addBranchTargetOperands, 1, CVT_Done }, // Convert__imm_95_38__RegCRRC1_0__CondBr1_1 { CVT_imm_95_38, 0, CVT_95_addRegCRRCOperands, 1, CVT_95_addBranchTargetOperands, 2, CVT_Done }, // Convert__imm_95_36__regCR0 { CVT_imm_95_36, 0, CVT_regCR0, 0, CVT_Done }, // Convert__imm_95_36__RegCRRC1_0 { CVT_imm_95_36, 0, CVT_95_addRegCRRCOperands, 1, CVT_Done }, // Convert__imm_95_39__regCR0 { CVT_imm_95_39, 0, CVT_regCR0, 0, CVT_Done }, // Convert__imm_95_39__RegCRRC1_0 { CVT_imm_95_39, 0, CVT_95_addRegCRRCOperands, 1, CVT_Done }, // Convert__imm_95_38__regCR0 { CVT_imm_95_38, 0, CVT_regCR0, 0, CVT_Done }, // Convert__imm_95_38__RegCRRC1_0 { CVT_imm_95_38, 0, CVT_95_addRegCRRCOperands, 1, CVT_Done }, // Convert__imm_95_12__regCR0__CondBr1_0 { CVT_imm_95_12, 0, CVT_regCR0, 0, CVT_95_addBranchTargetOperands, 1, CVT_Done }, // Convert__imm_95_12__RegCRRC1_0__CondBr1_1 { CVT_imm_95_12, 0, CVT_95_addRegCRRCOperands, 1, CVT_95_addBranchTargetOperands, 2, CVT_Done }, // Convert__imm_95_15__regCR0__CondBr1_0 { CVT_imm_95_15, 0, CVT_regCR0, 0, CVT_95_addBranchTargetOperands, 1, CVT_Done }, // Convert__imm_95_15__RegCRRC1_0__CondBr1_1 { CVT_imm_95_15, 0, CVT_95_addRegCRRCOperands, 1, CVT_95_addBranchTargetOperands, 2, CVT_Done }, // Convert__imm_95_14__regCR0__CondBr1_0 { CVT_imm_95_14, 0, CVT_regCR0, 0, CVT_95_addBranchTargetOperands, 1, CVT_Done }, // Convert__imm_95_14__RegCRRC1_0__CondBr1_1 { CVT_imm_95_14, 0, CVT_95_addRegCRRCOperands, 1, CVT_95_addBranchTargetOperands, 2, CVT_Done }, // Convert__imm_95_12__regCR0 { CVT_imm_95_12, 0, CVT_regCR0, 0, CVT_Done }, // Convert__imm_95_12__RegCRRC1_0 { CVT_imm_95_12, 0, CVT_95_addRegCRRCOperands, 1, CVT_Done }, // Convert__imm_95_15__regCR0 { CVT_imm_95_15, 0, CVT_regCR0, 0, CVT_Done }, // Convert__imm_95_15__RegCRRC1_0 { CVT_imm_95_15, 0, CVT_95_addRegCRRCOperands, 1, CVT_Done }, // Convert__imm_95_14__regCR0 { CVT_imm_95_14, 0, CVT_regCR0, 0, CVT_Done }, // Convert__imm_95_14__RegCRRC1_0 { CVT_imm_95_14, 0, CVT_95_addRegCRRCOperands, 1, CVT_Done }, // Convert__imm_95_68__regCR0__CondBr1_0 { CVT_imm_95_68, 0, CVT_regCR0, 0, CVT_95_addBranchTargetOperands, 1, CVT_Done }, // Convert__imm_95_68__RegCRRC1_0__CondBr1_1 { CVT_imm_95_68, 0, CVT_95_addRegCRRCOperands, 1, CVT_95_addBranchTargetOperands, 2, CVT_Done }, // Convert__imm_95_71__regCR0__CondBr1_0 { CVT_imm_95_71, 0, CVT_regCR0, 0, CVT_95_addBranchTargetOperands, 1, CVT_Done }, // Convert__imm_95_71__RegCRRC1_0__CondBr1_1 { CVT_imm_95_71, 0, CVT_95_addRegCRRCOperands, 1, CVT_95_addBranchTargetOperands, 2, CVT_Done }, // Convert__imm_95_70__regCR0__CondBr1_0 { CVT_imm_95_70, 0, CVT_regCR0, 0, CVT_95_addBranchTargetOperands, 1, CVT_Done }, // Convert__imm_95_70__RegCRRC1_0__CondBr1_1 { CVT_imm_95_70, 0, CVT_95_addRegCRRCOperands, 1, CVT_95_addBranchTargetOperands, 2, CVT_Done }, // Convert__imm_95_68__regCR0 { CVT_imm_95_68, 0, CVT_regCR0, 0, CVT_Done }, // Convert__imm_95_68__RegCRRC1_0 { CVT_imm_95_68, 0, CVT_95_addRegCRRCOperands, 1, CVT_Done }, // Convert__imm_95_71__regCR0 { CVT_imm_95_71, 0, CVT_regCR0, 0, CVT_Done }, // Convert__imm_95_71__RegCRRC1_0 { CVT_imm_95_71, 0, CVT_95_addRegCRRCOperands, 1, CVT_Done }, // Convert__imm_95_70__regCR0 { CVT_imm_95_70, 0, CVT_regCR0, 0, CVT_Done }, // Convert__imm_95_70__RegCRRC1_0 { CVT_imm_95_70, 0, CVT_95_addRegCRRCOperands, 1, CVT_Done }, // Convert__imm_95_100__regCR0__CondBr1_0 { CVT_imm_95_100, 0, CVT_regCR0, 0, CVT_95_addBranchTargetOperands, 1, CVT_Done }, // Convert__imm_95_100__RegCRRC1_0__CondBr1_1 { CVT_imm_95_100, 0, CVT_95_addRegCRRCOperands, 1, CVT_95_addBranchTargetOperands, 2, CVT_Done }, // Convert__imm_95_103__regCR0__CondBr1_0 { CVT_imm_95_103, 0, CVT_regCR0, 0, CVT_95_addBranchTargetOperands, 1, CVT_Done }, // Convert__imm_95_103__RegCRRC1_0__CondBr1_1 { CVT_imm_95_103, 0, CVT_95_addRegCRRCOperands, 1, CVT_95_addBranchTargetOperands, 2, CVT_Done }, // Convert__imm_95_102__regCR0__CondBr1_0 { CVT_imm_95_102, 0, CVT_regCR0, 0, CVT_95_addBranchTargetOperands, 1, CVT_Done }, // Convert__imm_95_102__RegCRRC1_0__CondBr1_1 { CVT_imm_95_102, 0, CVT_95_addRegCRRCOperands, 1, CVT_95_addBranchTargetOperands, 2, CVT_Done }, // Convert__imm_95_100__regCR0 { CVT_imm_95_100, 0, CVT_regCR0, 0, CVT_Done }, // Convert__imm_95_100__RegCRRC1_0 { CVT_imm_95_100, 0, CVT_95_addRegCRRCOperands, 1, CVT_Done }, // Convert__imm_95_103__regCR0 { CVT_imm_95_103, 0, CVT_regCR0, 0, CVT_Done }, // Convert__imm_95_103__RegCRRC1_0 { CVT_imm_95_103, 0, CVT_95_addRegCRRCOperands, 1, CVT_Done }, // Convert__imm_95_102__regCR0 { CVT_imm_95_102, 0, CVT_regCR0, 0, CVT_Done }, // Convert__imm_95_102__RegCRRC1_0 { CVT_imm_95_102, 0, CVT_95_addRegCRRCOperands, 1, CVT_Done }, // Convert__RegG8RC1_0__RegG8RC1_1__RegG8RC1_2 { CVT_95_addRegG8RCOperands, 1, CVT_95_addRegG8RCOperands, 2, CVT_95_addRegG8RCOperands, 3, CVT_Done }, // Convert__imm_95_108__regCR0__CondBr1_0 { CVT_imm_95_108, 0, CVT_regCR0, 0, CVT_95_addBranchTargetOperands, 1, CVT_Done }, // Convert__imm_95_108__RegCRRC1_0__CondBr1_1 { CVT_imm_95_108, 0, CVT_95_addRegCRRCOperands, 1, CVT_95_addBranchTargetOperands, 2, CVT_Done }, // Convert__imm_95_111__regCR0__CondBr1_0 { CVT_imm_95_111, 0, CVT_regCR0, 0, CVT_95_addBranchTargetOperands, 1, CVT_Done }, // Convert__imm_95_111__RegCRRC1_0__CondBr1_1 { CVT_imm_95_111, 0, CVT_95_addRegCRRCOperands, 1, CVT_95_addBranchTargetOperands, 2, CVT_Done }, // Convert__imm_95_110__regCR0__CondBr1_0 { CVT_imm_95_110, 0, CVT_regCR0, 0, CVT_95_addBranchTargetOperands, 1, CVT_Done }, // Convert__imm_95_110__RegCRRC1_0__CondBr1_1 { CVT_imm_95_110, 0, CVT_95_addRegCRRCOperands, 1, CVT_95_addBranchTargetOperands, 2, CVT_Done }, // Convert__imm_95_108__regCR0 { CVT_imm_95_108, 0, CVT_regCR0, 0, CVT_Done }, // Convert__imm_95_108__RegCRRC1_0 { CVT_imm_95_108, 0, CVT_95_addRegCRRCOperands, 1, CVT_Done }, // Convert__imm_95_111__regCR0 { CVT_imm_95_111, 0, CVT_regCR0, 0, CVT_Done }, // Convert__imm_95_111__RegCRRC1_0 { CVT_imm_95_111, 0, CVT_95_addRegCRRCOperands, 1, CVT_Done }, // Convert__imm_95_110__regCR0 { CVT_imm_95_110, 0, CVT_regCR0, 0, CVT_Done }, // Convert__imm_95_110__RegCRRC1_0 { CVT_imm_95_110, 0, CVT_95_addRegCRRCOperands, 1, CVT_Done }, // Convert__imm_95_12__RegCRBITRC1_0__CondBr1_1 { CVT_imm_95_12, 0, CVT_95_addRegCRBITRCOperands, 1, CVT_95_addBranchTargetOperands, 2, CVT_Done }, // Convert__imm_95_15__RegCRBITRC1_0__CondBr1_1 { CVT_imm_95_15, 0, CVT_95_addRegCRBITRCOperands, 1, CVT_95_addBranchTargetOperands, 2, CVT_Done }, // Convert__imm_95_14__RegCRBITRC1_0__CondBr1_1 { CVT_imm_95_14, 0, CVT_95_addRegCRBITRCOperands, 1, CVT_95_addBranchTargetOperands, 2, CVT_Done }, // Convert__imm_95_12__RegCRBITRC1_0__imm_95_0 { CVT_imm_95_12, 0, CVT_95_addRegCRBITRCOperands, 1, CVT_imm_95_0, 0, CVT_Done }, // Convert__imm_95_15__RegCRBITRC1_0__imm_95_0 { CVT_imm_95_15, 0, CVT_95_addRegCRBITRCOperands, 1, CVT_imm_95_0, 0, CVT_Done }, // Convert__imm_95_14__RegCRBITRC1_0__imm_95_0 { CVT_imm_95_14, 0, CVT_95_addRegCRBITRCOperands, 1, CVT_imm_95_0, 0, CVT_Done }, // Convert__RegG8RC1_0__RegG8RC1_1__imm_95_0__U6Imm1_2 { CVT_95_addRegG8RCOperands, 1, CVT_95_addRegG8RCOperands, 2, CVT_imm_95_0, 0, CVT_95_addImmOperands, 3, CVT_Done }, // Convert__RegG8RC1_1__RegG8RC1_2__imm_95_0__U6Imm1_3 { CVT_95_addRegG8RCOperands, 2, CVT_95_addRegG8RCOperands, 3, CVT_imm_95_0, 0, CVT_95_addImmOperands, 4, CVT_Done }, // Convert__RegG8RC1_0__RegG8RC1_1__U6Imm1_2__U6Imm1_3 { CVT_95_addRegG8RCOperands, 1, CVT_95_addRegG8RCOperands, 2, CVT_95_addImmOperands, 3, CVT_95_addImmOperands, 4, CVT_Done }, // Convert__RegG8RC1_1__RegG8RC1_2__U6Imm1_3__U6Imm1_4 { CVT_95_addRegG8RCOperands, 2, CVT_95_addRegG8RCOperands, 3, CVT_95_addImmOperands, 4, CVT_95_addImmOperands, 5, CVT_Done }, // Convert__RegGPRC1_0__RegGPRC1_1__U5Imm1_2__U5Imm1_3 { CVT_95_addRegGPRCOperands, 1, CVT_95_addRegGPRCOperands, 2, CVT_95_addImmOperands, 3, CVT_95_addImmOperands, 4, CVT_Done }, // Convert__RegGPRC1_1__RegGPRC1_2__U5Imm1_3__U5Imm1_4 { CVT_95_addRegGPRCOperands, 2, CVT_95_addRegGPRCOperands, 3, CVT_95_addImmOperands, 4, CVT_95_addImmOperands, 5, CVT_Done }, // Convert__RegGPRC1_0__RegGPRC1_1__imm_95_0__U5Imm1_2__imm_95_31 { CVT_95_addRegGPRCOperands, 1, CVT_95_addRegGPRCOperands, 2, CVT_imm_95_0, 0, CVT_95_addImmOperands, 3, CVT_imm_95_31, 0, CVT_Done }, // Convert__RegGPRC1_1__RegGPRC1_2__imm_95_0__U5Imm1_3__imm_95_31 { CVT_95_addRegGPRCOperands, 2, CVT_95_addRegGPRCOperands, 3, CVT_imm_95_0, 0, CVT_95_addImmOperands, 4, CVT_imm_95_31, 0, CVT_Done }, // Convert__RegG8RC1_0__RegG8RC1_1__U6Imm1_2 { CVT_95_addRegG8RCOperands, 1, CVT_95_addRegG8RCOperands, 2, CVT_95_addImmOperands, 3, CVT_Done }, // Convert__RegG8RC1_1__RegG8RC1_2__U6Imm1_3 { CVT_95_addRegG8RCOperands, 2, CVT_95_addRegG8RCOperands, 3, CVT_95_addImmOperands, 4, CVT_Done }, // Convert__RegGPRC1_0__RegGPRC1_1__U5Imm1_2 { CVT_95_addRegGPRCOperands, 1, CVT_95_addRegGPRCOperands, 2, CVT_95_addImmOperands, 3, CVT_Done }, // Convert__RegGPRC1_1__RegGPRC1_2__U5Imm1_3 { CVT_95_addRegGPRCOperands, 2, CVT_95_addRegGPRCOperands, 3, CVT_95_addImmOperands, 4, CVT_Done }, // Convert__RegCRRC1_0__RegGPRC1_2__RegGPRC1_3 { CVT_95_addRegCRRCOperands, 1, CVT_95_addRegGPRCOperands, 3, CVT_95_addRegGPRCOperands, 4, CVT_Done }, // Convert__RegCRRC1_0__RegG8RC1_2__RegG8RC1_3 { CVT_95_addRegCRRCOperands, 1, CVT_95_addRegG8RCOperands, 3, CVT_95_addRegG8RCOperands, 4, CVT_Done }, // Convert__regCR0__RegG8RC1_0__RegG8RC1_1 { CVT_regCR0, 0, CVT_95_addRegG8RCOperands, 1, CVT_95_addRegG8RCOperands, 2, CVT_Done }, // Convert__RegCRRC1_0__RegG8RC1_1__RegG8RC1_2 { CVT_95_addRegCRRCOperands, 1, CVT_95_addRegG8RCOperands, 2, CVT_95_addRegG8RCOperands, 3, CVT_Done }, // Convert__regCR0__RegG8RC1_0__S16Imm1_1 { CVT_regCR0, 0, CVT_95_addRegG8RCOperands, 1, CVT_95_addS16ImmOperands, 2, CVT_Done }, // Convert__RegCRRC1_0__RegG8RC1_1__S16Imm1_2 { CVT_95_addRegCRRCOperands, 1, CVT_95_addRegG8RCOperands, 2, CVT_95_addS16ImmOperands, 3, CVT_Done }, // Convert__RegCRRC1_0__RegGPRC1_2__S16Imm1_3 { CVT_95_addRegCRRCOperands, 1, CVT_95_addRegGPRCOperands, 3, CVT_95_addS16ImmOperands, 4, CVT_Done }, // Convert__RegCRRC1_0__RegG8RC1_2__S16Imm1_3 { CVT_95_addRegCRRCOperands, 1, CVT_95_addRegG8RCOperands, 3, CVT_95_addS16ImmOperands, 4, CVT_Done }, // Convert__regCR0__RegG8RC1_0__U16Imm1_1 { CVT_regCR0, 0, CVT_95_addRegG8RCOperands, 1, CVT_95_addU16ImmOperands, 2, CVT_Done }, // Convert__RegCRRC1_0__RegG8RC1_1__U16Imm1_2 { CVT_95_addRegCRRCOperands, 1, CVT_95_addRegG8RCOperands, 2, CVT_95_addU16ImmOperands, 3, CVT_Done }, // Convert__RegCRRC1_0__RegGPRC1_2__U16Imm1_3 { CVT_95_addRegCRRCOperands, 1, CVT_95_addRegGPRCOperands, 3, CVT_95_addU16ImmOperands, 4, CVT_Done }, // Convert__RegCRRC1_0__RegG8RC1_2__U16Imm1_3 { CVT_95_addRegCRRCOperands, 1, CVT_95_addRegG8RCOperands, 3, CVT_95_addU16ImmOperands, 4, CVT_Done }, // Convert__regCR0__RegGPRC1_0__RegGPRC1_1 { CVT_regCR0, 0, CVT_95_addRegGPRCOperands, 1, CVT_95_addRegGPRCOperands, 2, CVT_Done }, // Convert__RegCRRC1_0__RegGPRC1_1__RegGPRC1_2 { CVT_95_addRegCRRCOperands, 1, CVT_95_addRegGPRCOperands, 2, CVT_95_addRegGPRCOperands, 3, CVT_Done }, // Convert__regCR0__RegGPRC1_0__U16Imm1_1 { CVT_regCR0, 0, CVT_95_addRegGPRCOperands, 1, CVT_95_addU16ImmOperands, 2, CVT_Done }, // Convert__RegCRRC1_0__RegGPRC1_1__U16Imm1_2 { CVT_95_addRegCRRCOperands, 1, CVT_95_addRegGPRCOperands, 2, CVT_95_addU16ImmOperands, 3, CVT_Done }, // Convert__regCR0__RegGPRC1_0__S16Imm1_1 { CVT_regCR0, 0, CVT_95_addRegGPRCOperands, 1, CVT_95_addS16ImmOperands, 2, CVT_Done }, // Convert__RegCRRC1_0__RegGPRC1_1__S16Imm1_2 { CVT_95_addRegCRRCOperands, 1, CVT_95_addRegGPRCOperands, 2, CVT_95_addS16ImmOperands, 3, CVT_Done }, // Convert__RegG8RC1_0__RegG8RC1_1 { CVT_95_addRegG8RCOperands, 1, CVT_95_addRegG8RCOperands, 2, CVT_Done }, // Convert__RegG8RC1_1__RegG8RC1_2 { CVT_95_addRegG8RCOperands, 2, CVT_95_addRegG8RCOperands, 3, CVT_Done }, // Convert__RegCRBITRC1_0__RegCRBITRC1_1__RegCRBITRC1_2 { CVT_95_addRegCRBITRCOperands, 1, CVT_95_addRegCRBITRCOperands, 2, CVT_95_addRegCRBITRCOperands, 3, CVT_Done }, // Convert__RegCRBITRC1_0__RegCRBITRC1_0__RegCRBITRC1_0 { CVT_95_addRegCRBITRCOperands, 1, CVT_95_addRegCRBITRCOperands, 1, CVT_95_addRegCRBITRCOperands, 1, CVT_Done }, // Convert__RegCRBITRC1_0__RegCRBITRC1_1__RegCRBITRC1_1 { CVT_95_addRegCRBITRCOperands, 1, CVT_95_addRegCRBITRCOperands, 2, CVT_95_addRegCRBITRCOperands, 2, CVT_Done }, // Convert__RegGxRCNoR01_0__RegGxRC1_1 { CVT_95_addRegGxRCNoR0Operands, 1, CVT_95_addRegGxRCOperands, 2, CVT_Done }, // Convert__U5Imm1_2__RegGxRCNoR01_0__RegGxRC1_1 { CVT_95_addImmOperands, 3, CVT_95_addRegGxRCNoR0Operands, 1, CVT_95_addRegGxRCOperands, 2, CVT_Done }, // Convert__RegGxRCNoR01_0__RegGxRC1_1__U5Imm1_2 { CVT_95_addRegGxRCNoR0Operands, 1, CVT_95_addRegGxRCOperands, 2, CVT_95_addImmOperands, 3, CVT_Done }, // Convert__regR0__regR0 { CVT_regR0, 0, CVT_regR0, 0, CVT_Done }, // Convert__RegG8RC1_1__RegG8RC1_2__RegG8RC1_3 { CVT_95_addRegG8RCOperands, 2, CVT_95_addRegG8RCOperands, 3, CVT_95_addRegG8RCOperands, 4, CVT_Done }, // Convert__U5Imm1_0 { CVT_95_addImmOperands, 1, CVT_Done }, // Convert__U5Imm1_2__RegGPRC1_0__RegGPRC1_1 { CVT_95_addImmOperands, 3, CVT_95_addRegGPRCOperands, 1, CVT_95_addRegGPRCOperands, 2, CVT_Done }, // Convert__RegGPRC1_0__RegGPRC1_2__U5Imm1_1 { CVT_95_addRegGPRCOperands, 1, CVT_95_addRegGPRCOperands, 3, CVT_95_addImmOperands, 2, CVT_Done }, // Convert__RegGPRC1_0__DispSPE81_1__RegGxRCNoR01_2 { CVT_95_addRegGPRCOperands, 1, CVT_95_addImmOperands, 2, CVT_95_addRegGxRCNoR0Operands, 3, CVT_Done }, // Convert__RegGPRC1_0__DispSPE21_1__RegGxRCNoR01_2 { CVT_95_addRegGPRCOperands, 1, CVT_95_addImmOperands, 2, CVT_95_addRegGxRCNoR0Operands, 3, CVT_Done }, // Convert__RegGPRC1_0__DispSPE41_1__RegGxRCNoR01_2 { CVT_95_addRegGPRCOperands, 1, CVT_95_addImmOperands, 2, CVT_95_addRegGxRCNoR0Operands, 3, CVT_Done }, // Convert__RegGPRC1_0__Imm1_1 { CVT_95_addRegGPRCOperands, 1, CVT_95_addImmOperands, 2, CVT_Done }, // Convert__RegGPRC1_0__U5Imm1_1__RegGPRC1_2 { CVT_95_addRegGPRCOperands, 1, CVT_95_addImmOperands, 2, CVT_95_addRegGPRCOperands, 3, CVT_Done }, // Convert__RegF4RC1_0__RegF4RC1_1 { CVT_95_addRegF4RCOperands, 1, CVT_95_addRegF4RCOperands, 2, CVT_Done }, // Convert__RegF4RC1_1__RegF4RC1_2 { CVT_95_addRegF4RCOperands, 2, CVT_95_addRegF4RCOperands, 3, CVT_Done }, // Convert__RegF8RC1_0__RegF8RC1_1__RegF8RC1_2 { CVT_95_addRegF8RCOperands, 1, CVT_95_addRegF8RCOperands, 2, CVT_95_addRegF8RCOperands, 3, CVT_Done }, // Convert__RegF8RC1_1__RegF8RC1_2__RegF8RC1_3 { CVT_95_addRegF8RCOperands, 2, CVT_95_addRegF8RCOperands, 3, CVT_95_addRegF8RCOperands, 4, CVT_Done }, // Convert__RegF4RC1_0__RegF4RC1_1__RegF4RC1_2 { CVT_95_addRegF4RCOperands, 1, CVT_95_addRegF4RCOperands, 2, CVT_95_addRegF4RCOperands, 3, CVT_Done }, // Convert__RegF4RC1_1__RegF4RC1_2__RegF4RC1_3 { CVT_95_addRegF4RCOperands, 2, CVT_95_addRegF4RCOperands, 3, CVT_95_addRegF4RCOperands, 4, CVT_Done }, // Convert__RegF8RC1_0__RegF8RC1_1 { CVT_95_addRegF8RCOperands, 1, CVT_95_addRegF8RCOperands, 2, CVT_Done }, // Convert__RegF8RC1_1__RegF8RC1_2 { CVT_95_addRegF8RCOperands, 2, CVT_95_addRegF8RCOperands, 3, CVT_Done }, // Convert__RegF4RC1_0__RegF8RC1_1 { CVT_95_addRegF4RCOperands, 1, CVT_95_addRegF8RCOperands, 2, CVT_Done }, // Convert__RegF4RC1_1__RegF8RC1_2 { CVT_95_addRegF4RCOperands, 2, CVT_95_addRegF8RCOperands, 3, CVT_Done }, // Convert__RegCRRC1_0__RegF4RC1_1__RegF4RC1_2 { CVT_95_addRegCRRCOperands, 1, CVT_95_addRegF4RCOperands, 2, CVT_95_addRegF4RCOperands, 3, CVT_Done }, // Convert__RegF8RC1_0__RegF8RC1_1__RegF8RC1_2__RegF8RC1_3 { CVT_95_addRegF8RCOperands, 1, CVT_95_addRegF8RCOperands, 2, CVT_95_addRegF8RCOperands, 3, CVT_95_addRegF8RCOperands, 4, CVT_Done }, // Convert__RegF8RC1_1__RegF8RC1_2__RegF8RC1_3__RegF8RC1_4 { CVT_95_addRegF8RCOperands, 2, CVT_95_addRegF8RCOperands, 3, CVT_95_addRegF8RCOperands, 4, CVT_95_addRegF8RCOperands, 5, CVT_Done }, // Convert__RegF4RC1_0__RegF4RC1_1__RegF4RC1_2__RegF4RC1_3 { CVT_95_addRegF4RCOperands, 1, CVT_95_addRegF4RCOperands, 2, CVT_95_addRegF4RCOperands, 3, CVT_95_addRegF4RCOperands, 4, CVT_Done }, // Convert__RegF4RC1_1__RegF4RC1_2__RegF4RC1_3__RegF4RC1_4 { CVT_95_addRegF4RCOperands, 2, CVT_95_addRegF4RCOperands, 3, CVT_95_addRegF4RCOperands, 4, CVT_95_addRegF4RCOperands, 5, CVT_Done }, // Convert__RegF4RC1_0__RegF8RC1_1__RegF4RC1_2__RegF4RC1_3 { CVT_95_addRegF4RCOperands, 1, CVT_95_addRegF8RCOperands, 2, CVT_95_addRegF4RCOperands, 3, CVT_95_addRegF4RCOperands, 4, CVT_Done }, // Convert__RegF4RC1_1__RegF8RC1_2__RegF4RC1_3__RegF4RC1_4 { CVT_95_addRegF4RCOperands, 2, CVT_95_addRegF8RCOperands, 3, CVT_95_addRegF4RCOperands, 4, CVT_95_addRegF4RCOperands, 5, CVT_Done }, // Convert__U4Imm1_0__RegGxRCNoR01_1__RegGxRC1_2 { CVT_95_addImmOperands, 1, CVT_95_addRegGxRCNoR0Operands, 2, CVT_95_addRegGxRCOperands, 3, CVT_Done }, // Convert__RegGPRC1_0__RegGPRCNoR01_1__RegGPRC1_2__RegCRBITRC1_3 { CVT_95_addRegGPRCOperands, 1, CVT_95_addRegGPRCNoR0Operands, 2, CVT_95_addRegGPRCOperands, 3, CVT_95_addRegCRBITRCOperands, 4, CVT_Done }, // Convert__RegGPRC1_0__DispRI1_1__RegGxRCNoR01_2 { CVT_95_addRegGPRCOperands, 1, CVT_95_addS16ImmOperands, 2, CVT_95_addRegGxRCNoR0Operands, 3, CVT_Done }, // Convert__RegGPRC1_0__RegGxRCNoR01_1__RegGxRC1_2 { CVT_95_addRegGPRCOperands, 1, CVT_95_addRegGxRCNoR0Operands, 2, CVT_95_addRegGxRCOperands, 3, CVT_Done }, // Convert__RegGPRC1_0__imm_95_0__DispRI1_1__RegGxRCNoR01_2 { CVT_95_addRegGPRCOperands, 1, CVT_imm_95_0, 0, CVT_95_addS16ImmOperands, 2, CVT_95_addRegGxRCNoR0Operands, 3, CVT_Done }, // Convert__RegGPRC1_0__imm_95_0__RegGxRCNoR01_1__RegGxRC1_2 { CVT_95_addRegGPRCOperands, 1, CVT_imm_95_0, 0, CVT_95_addRegGxRCNoR0Operands, 2, CVT_95_addRegGxRCOperands, 3, CVT_Done }, // Convert__RegG8RC1_0__DispRIX1_1__RegGxRCNoR01_2 { CVT_95_addRegG8RCOperands, 1, CVT_95_addImmOperands, 2, CVT_95_addRegGxRCNoR0Operands, 3, CVT_Done }, // Convert__RegG8RC1_0__RegGxRCNoR01_1__RegGxRC1_2 { CVT_95_addRegG8RCOperands, 1, CVT_95_addRegGxRCNoR0Operands, 2, CVT_95_addRegGxRCOperands, 3, CVT_Done }, // Convert__RegG8RC1_0__imm_95_0__DispRIX1_1__RegGxRCNoR01_2 { CVT_95_addRegG8RCOperands, 1, CVT_imm_95_0, 0, CVT_95_addImmOperands, 2, CVT_95_addRegGxRCNoR0Operands, 3, CVT_Done }, // Convert__RegG8RC1_0__imm_95_0__RegGxRCNoR01_1__RegGxRC1_2 { CVT_95_addRegG8RCOperands, 1, CVT_imm_95_0, 0, CVT_95_addRegGxRCNoR0Operands, 2, CVT_95_addRegGxRCOperands, 3, CVT_Done }, // Convert__RegF8RC1_0__DispRI1_1__RegGxRCNoR01_2 { CVT_95_addRegF8RCOperands, 1, CVT_95_addS16ImmOperands, 2, CVT_95_addRegGxRCNoR0Operands, 3, CVT_Done }, // Convert__RegF8RC1_0__imm_95_0__DispRI1_1__RegGxRCNoR01_2 { CVT_95_addRegF8RCOperands, 1, CVT_imm_95_0, 0, CVT_95_addS16ImmOperands, 2, CVT_95_addRegGxRCNoR0Operands, 3, CVT_Done }, // Convert__RegF8RC1_0__imm_95_0__RegGxRCNoR01_1__RegGxRC1_2 { CVT_95_addRegF8RCOperands, 1, CVT_imm_95_0, 0, CVT_95_addRegGxRCNoR0Operands, 2, CVT_95_addRegGxRCOperands, 3, CVT_Done }, // Convert__RegF8RC1_0__RegGxRCNoR01_1__RegGxRC1_2 { CVT_95_addRegF8RCOperands, 1, CVT_95_addRegGxRCNoR0Operands, 2, CVT_95_addRegGxRCOperands, 3, CVT_Done }, // Convert__RegF4RC1_0__DispRI1_1__RegGxRCNoR01_2 { CVT_95_addRegF4RCOperands, 1, CVT_95_addS16ImmOperands, 2, CVT_95_addRegGxRCNoR0Operands, 3, CVT_Done }, // Convert__RegF4RC1_0__imm_95_0__DispRI1_1__RegGxRCNoR01_2 { CVT_95_addRegF4RCOperands, 1, CVT_imm_95_0, 0, CVT_95_addS16ImmOperands, 2, CVT_95_addRegGxRCNoR0Operands, 3, CVT_Done }, // Convert__RegF4RC1_0__imm_95_0__RegGxRCNoR01_1__RegGxRC1_2 { CVT_95_addRegF4RCOperands, 1, CVT_imm_95_0, 0, CVT_95_addRegGxRCNoR0Operands, 2, CVT_95_addRegGxRCOperands, 3, CVT_Done }, // Convert__RegF4RC1_0__RegGxRCNoR01_1__RegGxRC1_2 { CVT_95_addRegF4RCOperands, 1, CVT_95_addRegGxRCNoR0Operands, 2, CVT_95_addRegGxRCOperands, 3, CVT_Done }, // Convert__RegGPRC1_0__S16Imm1_1 { CVT_95_addRegGPRCOperands, 1, CVT_95_addS16ImmOperands, 2, CVT_Done }, // Convert__RegGPRC1_0__S17Imm1_1 { CVT_95_addRegGPRCOperands, 1, CVT_95_addS16ImmOperands, 2, CVT_Done }, // Convert__RegVRRC1_0__RegGxRCNoR01_1__RegGxRC1_2 { CVT_95_addRegVRRCOperands, 1, CVT_95_addRegGxRCNoR0Operands, 2, CVT_95_addRegGxRCOperands, 3, CVT_Done }, // Convert__imm_95_1 { CVT_imm_95_1, 0, CVT_Done }, // Convert__RegVSFRC1_0__RegGxRCNoR01_1__RegGxRC1_2 { CVT_95_addRegVSFRCOperands, 1, CVT_95_addRegGxRCNoR0Operands, 2, CVT_95_addRegGxRCOperands, 3, CVT_Done }, // Convert__RegVSSRC1_0__RegGxRCNoR01_1__RegGxRC1_2 { CVT_95_addRegVSSRCOperands, 1, CVT_95_addRegGxRCNoR0Operands, 2, CVT_95_addRegGxRCOperands, 3, CVT_Done }, // Convert__RegVSRC1_0__RegGxRCNoR01_1__RegGxRC1_2 { CVT_95_addRegVSRCOperands, 1, CVT_95_addRegGxRCNoR0Operands, 2, CVT_95_addRegGxRCOperands, 3, CVT_Done }, // Convert__imm_95_0 { CVT_imm_95_0, 0, CVT_Done }, // Convert__RegCRRC1_0__RegCRRC1_1 { CVT_95_addRegCRRCOperands, 1, CVT_95_addRegCRRCOperands, 2, CVT_Done }, // Convert__RegGPRC1_0__imm_95_29 { CVT_95_addRegGPRCOperands, 1, CVT_imm_95_29, 0, CVT_Done }, // Convert__RegGPRC1_0__imm_95_280 { CVT_95_addRegGPRCOperands, 1, CVT_imm_95_280, 0, CVT_Done }, // Convert__RegGPRC1_0__U10Imm1_1__imm_95_0 { CVT_95_addRegGPRCOperands, 1, CVT_95_addImmOperands, 2, CVT_imm_95_0, 0, CVT_Done }, // Convert__RegGPRC1_0__imm_95_128 { CVT_95_addRegGPRCOperands, 1, CVT_imm_95_128, 0, CVT_Done }, // Convert__RegGPRC1_0__imm_95_129 { CVT_95_addRegGPRCOperands, 1, CVT_imm_95_129, 0, CVT_Done }, // Convert__RegGPRC1_0__imm_95_130 { CVT_95_addRegGPRCOperands, 1, CVT_imm_95_130, 0, CVT_Done }, // Convert__RegGPRC1_0__imm_95_131 { CVT_95_addRegGPRCOperands, 1, CVT_imm_95_131, 0, CVT_Done }, // Convert__RegGPRC1_0__imm_95_132 { CVT_95_addRegGPRCOperands, 1, CVT_imm_95_132, 0, CVT_Done }, // Convert__RegGPRC1_0__imm_95_133 { CVT_95_addRegGPRCOperands, 1, CVT_imm_95_133, 0, CVT_Done }, // Convert__RegGPRC1_0__imm_95_134 { CVT_95_addRegGPRCOperands, 1, CVT_imm_95_134, 0, CVT_Done }, // Convert__RegGPRC1_0__imm_95_135 { CVT_95_addRegGPRCOperands, 1, CVT_imm_95_135, 0, CVT_Done }, // Convert__RegGPRC1_0__imm_95_28 { CVT_95_addRegGPRCOperands, 1, CVT_imm_95_28, 0, CVT_Done }, // Convert__RegGPRC1_0 { CVT_95_addRegGPRCOperands, 1, CVT_Done }, // Convert__RegGPRC1_0__imm_95_19 { CVT_95_addRegGPRCOperands, 1, CVT_imm_95_19, 0, CVT_Done }, // Convert__RegGPRC1_0__imm_95_537 { CVT_95_addRegGPRCOperands, 1, CVT_imm_95_537, 0, CVT_Done }, // Convert__RegGPRC1_0__imm_95_539 { CVT_95_addRegGPRCOperands, 1, CVT_imm_95_539, 0, CVT_Done }, // Convert__RegGPRC1_0__imm_95_541 { CVT_95_addRegGPRCOperands, 1, CVT_imm_95_541, 0, CVT_Done }, // Convert__RegGPRC1_0__imm_95_543 { CVT_95_addRegGPRCOperands, 1, CVT_imm_95_543, 0, CVT_Done }, // Convert__RegGPRC1_0__imm_95_536 { CVT_95_addRegGPRCOperands, 1, CVT_imm_95_536, 0, CVT_Done }, // Convert__RegGPRC1_0__imm_95_538 { CVT_95_addRegGPRCOperands, 1, CVT_imm_95_538, 0, CVT_Done }, // Convert__RegGPRC1_0__imm_95_540 { CVT_95_addRegGPRCOperands, 1, CVT_imm_95_540, 0, CVT_Done }, // Convert__RegGPRC1_0__imm_95_542 { CVT_95_addRegGPRCOperands, 1, CVT_imm_95_542, 0, CVT_Done }, // Convert__RegGPRC1_0__imm_95_1018 { CVT_95_addRegGPRCOperands, 1, CVT_imm_95_1018, 0, CVT_Done }, // Convert__RegGPRC1_0__imm_95_981 { CVT_95_addRegGPRCOperands, 1, CVT_imm_95_981, 0, CVT_Done }, // Convert__RegGPRC1_0__imm_95_22 { CVT_95_addRegGPRCOperands, 1, CVT_imm_95_22, 0, CVT_Done }, // Convert__RegGPRC1_0__imm_95_17 { CVT_95_addRegGPRCOperands, 1, CVT_imm_95_17, 0, CVT_Done }, // Convert__RegGPRC1_0__imm_95_18 { CVT_95_addRegGPRCOperands, 1, CVT_imm_95_18, 0, CVT_Done }, // Convert__RegGPRC1_0__imm_95_980 { CVT_95_addRegGPRCOperands, 1, CVT_imm_95_980, 0, CVT_Done }, // Convert__RegF8RC1_0 { CVT_95_addRegF8RCOperands, 1, CVT_Done }, // Convert__RegF8RC1_1 { CVT_95_addRegF8RCOperands, 2, CVT_Done }, // Convert__RegGPRC1_0__imm_95_529 { CVT_95_addRegGPRCOperands, 1, CVT_imm_95_529, 0, CVT_Done }, // Convert__RegGPRC1_0__imm_95_531 { CVT_95_addRegGPRCOperands, 1, CVT_imm_95_531, 0, CVT_Done }, // Convert__RegGPRC1_0__imm_95_533 { CVT_95_addRegGPRCOperands, 1, CVT_imm_95_533, 0, CVT_Done }, // Convert__RegGPRC1_0__imm_95_535 { CVT_95_addRegGPRCOperands, 1, CVT_imm_95_535, 0, CVT_Done }, // Convert__RegGPRC1_0__imm_95_528 { CVT_95_addRegGPRCOperands, 1, CVT_imm_95_528, 0, CVT_Done }, // Convert__RegGPRC1_0__imm_95_530 { CVT_95_addRegGPRCOperands, 1, CVT_imm_95_530, 0, CVT_Done }, // Convert__RegGPRC1_0__imm_95_532 { CVT_95_addRegGPRCOperands, 1, CVT_imm_95_532, 0, CVT_Done }, // Convert__RegGPRC1_0__imm_95_534 { CVT_95_addRegGPRCOperands, 1, CVT_imm_95_534, 0, CVT_Done }, // Convert__RegGPRC1_0__imm_95_1019 { CVT_95_addRegGPRCOperands, 1, CVT_imm_95_1019, 0, CVT_Done }, // Convert__RegGPRC1_0__CRBitMask1_1 { CVT_95_addRegGPRCOperands, 1, CVT_95_addCRBitMaskOperands, 2, CVT_Done }, // Convert__RegGPRC1_0__imm_95_48 { CVT_95_addRegGPRCOperands, 1, CVT_imm_95_48, 0, CVT_Done }, // Convert__RegGPRC1_0__imm_95_287 { CVT_95_addRegGPRCOperands, 1, CVT_imm_95_287, 0, CVT_Done }, // Convert__RegGPRC1_0__imm_95_5 { CVT_95_addRegGPRCOperands, 1, CVT_imm_95_5, 0, CVT_Done }, // Convert__RegGPRC1_0__imm_95_4 { CVT_95_addRegGPRCOperands, 1, CVT_imm_95_4, 0, CVT_Done }, // Convert__RegGPRC1_0__imm_95_25 { CVT_95_addRegGPRCOperands, 1, CVT_imm_95_25, 0, CVT_Done }, // Convert__RegGPRC1_0__imm_95_512 { CVT_95_addRegGPRCOperands, 1, CVT_imm_95_512, 0, CVT_Done }, // Convert__RegGPRC1_0__imm_95_272 { CVT_95_addRegGPRCOperands, 1, CVT_imm_95_272, 0, CVT_Done }, // Convert__RegGPRC1_0__imm_95_273 { CVT_95_addRegGPRCOperands, 1, CVT_imm_95_273, 0, CVT_Done }, // Convert__RegGPRC1_0__imm_95_274 { CVT_95_addRegGPRCOperands, 1, CVT_imm_95_274, 0, CVT_Done }, // Convert__RegGPRC1_0__imm_95_275 { CVT_95_addRegGPRCOperands, 1, CVT_imm_95_275, 0, CVT_Done }, // Convert__RegGPRC1_0__imm_95_260 { CVT_95_addRegGPRCOperands, 1, CVT_imm_95_260, 0, CVT_Done }, // Convert__RegGPRC1_0__imm_95_261 { CVT_95_addRegGPRCOperands, 1, CVT_imm_95_261, 0, CVT_Done }, // Convert__RegGPRC1_0__imm_95_262 { CVT_95_addRegGPRCOperands, 1, CVT_imm_95_262, 0, CVT_Done }, // Convert__RegGPRC1_0__imm_95_263 { CVT_95_addRegGPRCOperands, 1, CVT_imm_95_263, 0, CVT_Done }, // Convert__RegGPRC1_0__U4Imm1_1 { CVT_95_addRegGPRCOperands, 1, CVT_95_addImmOperands, 2, CVT_Done }, // Convert__RegGPRC1_0__imm_95_26 { CVT_95_addRegGPRCOperands, 1, CVT_imm_95_26, 0, CVT_Done }, // Convert__RegGPRC1_0__imm_95_27 { CVT_95_addRegGPRCOperands, 1, CVT_imm_95_27, 0, CVT_Done }, // Convert__RegGPRC1_0__imm_95_990 { CVT_95_addRegGPRCOperands, 1, CVT_imm_95_990, 0, CVT_Done }, // Convert__RegGPRC1_0__imm_95_991 { CVT_95_addRegGPRCOperands, 1, CVT_imm_95_991, 0, CVT_Done }, // Convert__RegGPRC1_0__imm_95_268 { CVT_95_addRegGPRCOperands, 1, CVT_imm_95_268, 0, CVT_Done }, // Convert__RegGPRC1_0__imm_95_988 { CVT_95_addRegGPRCOperands, 1, CVT_imm_95_988, 0, CVT_Done }, // Convert__RegGPRC1_0__imm_95_989 { CVT_95_addRegGPRCOperands, 1, CVT_imm_95_989, 0, CVT_Done }, // Convert__RegGPRC1_0__imm_95_269 { CVT_95_addRegGPRCOperands, 1, CVT_imm_95_269, 0, CVT_Done }, // Convert__RegGPRC1_0__imm_95_986 { CVT_95_addRegGPRCOperands, 1, CVT_imm_95_986, 0, CVT_Done }, // Convert__RegVRRC1_0 { CVT_95_addRegVRRCOperands, 1, CVT_Done }, // Convert__RegG8RC1_0__RegVSFRC1_1 { CVT_95_addRegG8RCOperands, 1, CVT_95_addRegVSFRCOperands, 2, CVT_Done }, // Convert__RegGPRC1_0__RegVSFRC1_1 { CVT_95_addRegGPRCOperands, 1, CVT_95_addRegVSFRCOperands, 2, CVT_Done }, // Convert__RegGPRC1_0__imm_95_1 { CVT_95_addRegGPRCOperands, 1, CVT_imm_95_1, 0, CVT_Done }, // Convert__RegG8RC1_0__RegG8RC1_1__RegG8RC1_1 { CVT_95_addRegG8RCOperands, 1, CVT_95_addRegG8RCOperands, 2, CVT_95_addRegG8RCOperands, 2, CVT_Done }, // Convert__RegG8RC1_1__RegG8RC1_2__RegG8RC1_2 { CVT_95_addRegG8RCOperands, 2, CVT_95_addRegG8RCOperands, 3, CVT_95_addRegG8RCOperands, 3, CVT_Done }, // Convert__imm_95_29__RegGPRC1_0 { CVT_imm_95_29, 0, CVT_95_addRegGPRCOperands, 1, CVT_Done }, // Convert__imm_95_280__RegGPRC1_0 { CVT_imm_95_280, 0, CVT_95_addRegGPRCOperands, 1, CVT_Done }, // Convert__imm_95_28__RegGPRC1_0 { CVT_imm_95_28, 0, CVT_95_addRegGPRCOperands, 1, CVT_Done }, // Convert__imm_95_255__RegG8RC1_0 { CVT_imm_95_255, 0, CVT_95_addRegG8RCOperands, 1, CVT_Done }, // Convert__Imm1_0__RegGPRC1_1 { CVT_95_addImmOperands, 1, CVT_95_addRegGPRCOperands, 2, CVT_Done }, // Convert__imm_95_19__RegGPRC1_0 { CVT_imm_95_19, 0, CVT_95_addRegGPRCOperands, 1, CVT_Done }, // Convert__imm_95_537__RegGPRC1_1 { CVT_imm_95_537, 0, CVT_95_addRegGPRCOperands, 2, CVT_Done }, // Convert__imm_95_539__RegGPRC1_1 { CVT_imm_95_539, 0, CVT_95_addRegGPRCOperands, 2, CVT_Done }, // Convert__imm_95_541__RegGPRC1_1 { CVT_imm_95_541, 0, CVT_95_addRegGPRCOperands, 2, CVT_Done }, // Convert__imm_95_543__RegGPRC1_1 { CVT_imm_95_543, 0, CVT_95_addRegGPRCOperands, 2, CVT_Done }, // Convert__imm_95_536__RegGPRC1_1 { CVT_imm_95_536, 0, CVT_95_addRegGPRCOperands, 2, CVT_Done }, // Convert__imm_95_538__RegGPRC1_1 { CVT_imm_95_538, 0, CVT_95_addRegGPRCOperands, 2, CVT_Done }, // Convert__imm_95_540__RegGPRC1_1 { CVT_imm_95_540, 0, CVT_95_addRegGPRCOperands, 2, CVT_Done }, // Convert__imm_95_542__RegGPRC1_1 { CVT_imm_95_542, 0, CVT_95_addRegGPRCOperands, 2, CVT_Done }, // Convert__imm_95_1018__RegGPRC1_0 { CVT_imm_95_1018, 0, CVT_95_addRegGPRCOperands, 1, CVT_Done }, // Convert__RegGPRC1_1__Imm1_0 { CVT_95_addRegGPRCOperands, 2, CVT_95_addImmOperands, 1, CVT_Done }, // Convert__imm_95_981__RegGPRC1_0 { CVT_imm_95_981, 0, CVT_95_addRegGPRCOperands, 1, CVT_Done }, // Convert__imm_95_22__RegGPRC1_0 { CVT_imm_95_22, 0, CVT_95_addRegGPRCOperands, 1, CVT_Done }, // Convert__imm_95_17__RegGPRC1_0 { CVT_imm_95_17, 0, CVT_95_addRegGPRCOperands, 1, CVT_Done }, // Convert__imm_95_18__RegGPRC1_0 { CVT_imm_95_18, 0, CVT_95_addRegGPRCOperands, 1, CVT_Done }, // Convert__imm_95_980__RegGPRC1_0 { CVT_imm_95_980, 0, CVT_95_addRegGPRCOperands, 1, CVT_Done }, // Convert__Imm1_0__RegF8RC1_1__imm_95_0__imm_95_0 { CVT_95_addImmOperands, 1, CVT_95_addRegF8RCOperands, 2, CVT_imm_95_0, 0, CVT_imm_95_0, 0, CVT_Done }, // Convert__Imm1_1__RegF8RC1_2__imm_95_0__imm_95_0 { CVT_95_addImmOperands, 2, CVT_95_addRegF8RCOperands, 3, CVT_imm_95_0, 0, CVT_imm_95_0, 0, CVT_Done }, // Convert__Imm1_0__RegF8RC1_1__Imm1_2__Imm1_3 { CVT_95_addImmOperands, 1, CVT_95_addRegF8RCOperands, 2, CVT_95_addImmOperands, 3, CVT_95_addImmOperands, 4, CVT_Done }, // Convert__Imm1_1__RegF8RC1_2__Imm1_3__Imm1_4 { CVT_95_addImmOperands, 2, CVT_95_addRegF8RCOperands, 3, CVT_95_addImmOperands, 4, CVT_95_addImmOperands, 5, CVT_Done }, // Convert__RegCRRC1_0__Imm1_1__imm_95_0 { CVT_95_addRegCRRCOperands, 1, CVT_95_addImmOperands, 2, CVT_imm_95_0, 0, CVT_Done }, // Convert__RegCRRC1_1__Imm1_2__imm_95_0 { CVT_95_addRegCRRCOperands, 2, CVT_95_addImmOperands, 3, CVT_imm_95_0, 0, CVT_Done }, // Convert__RegCRRC1_0__Imm1_1__Imm1_2 { CVT_95_addRegCRRCOperands, 1, CVT_95_addImmOperands, 2, CVT_95_addImmOperands, 3, CVT_Done }, // Convert__RegCRRC1_1__Imm1_2__Imm1_3 { CVT_95_addRegCRRCOperands, 2, CVT_95_addImmOperands, 3, CVT_95_addImmOperands, 4, CVT_Done }, // Convert__imm_95_529__RegGPRC1_1 { CVT_imm_95_529, 0, CVT_95_addRegGPRCOperands, 2, CVT_Done }, // Convert__imm_95_531__RegGPRC1_1 { CVT_imm_95_531, 0, CVT_95_addRegGPRCOperands, 2, CVT_Done }, // Convert__imm_95_533__RegGPRC1_1 { CVT_imm_95_533, 0, CVT_95_addRegGPRCOperands, 2, CVT_Done }, // Convert__imm_95_535__RegGPRC1_1 { CVT_imm_95_535, 0, CVT_95_addRegGPRCOperands, 2, CVT_Done }, // Convert__imm_95_528__RegGPRC1_1 { CVT_imm_95_528, 0, CVT_95_addRegGPRCOperands, 2, CVT_Done }, // Convert__imm_95_530__RegGPRC1_1 { CVT_imm_95_530, 0, CVT_95_addRegGPRCOperands, 2, CVT_Done }, // Convert__imm_95_532__RegGPRC1_1 { CVT_imm_95_532, 0, CVT_95_addRegGPRCOperands, 2, CVT_Done }, // Convert__imm_95_534__RegGPRC1_1 { CVT_imm_95_534, 0, CVT_95_addRegGPRCOperands, 2, CVT_Done }, // Convert__imm_95_1019__RegGPRC1_0 { CVT_imm_95_1019, 0, CVT_95_addRegGPRCOperands, 1, CVT_Done }, // Convert__RegGPRC1_0__imm_95_0 { CVT_95_addRegGPRCOperands, 1, CVT_imm_95_0, 0, CVT_Done }, // Convert__CRBitMask1_0__RegGPRC1_1 { CVT_95_addCRBitMaskOperands, 1, CVT_95_addRegGPRCOperands, 2, CVT_Done }, // Convert__imm_95_48__RegGPRC1_0 { CVT_imm_95_48, 0, CVT_95_addRegGPRCOperands, 1, CVT_Done }, // Convert__imm_95_25__RegGPRC1_0 { CVT_imm_95_25, 0, CVT_95_addRegGPRCOperands, 1, CVT_Done }, // Convert__imm_95_512__RegGPRC1_0 { CVT_imm_95_512, 0, CVT_95_addRegGPRCOperands, 1, CVT_Done }, // Convert__imm_95_272__RegGPRC1_1 { CVT_imm_95_272, 0, CVT_95_addRegGPRCOperands, 2, CVT_Done }, // Convert__imm_95_273__RegGPRC1_1 { CVT_imm_95_273, 0, CVT_95_addRegGPRCOperands, 2, CVT_Done }, // Convert__imm_95_274__RegGPRC1_1 { CVT_imm_95_274, 0, CVT_95_addRegGPRCOperands, 2, CVT_Done }, // Convert__imm_95_275__RegGPRC1_1 { CVT_imm_95_275, 0, CVT_95_addRegGPRCOperands, 2, CVT_Done }, // Convert__imm_95_260__RegGPRC1_1 { CVT_imm_95_260, 0, CVT_95_addRegGPRCOperands, 2, CVT_Done }, // Convert__imm_95_261__RegGPRC1_1 { CVT_imm_95_261, 0, CVT_95_addRegGPRCOperands, 2, CVT_Done }, // Convert__imm_95_262__RegGPRC1_1 { CVT_imm_95_262, 0, CVT_95_addRegGPRCOperands, 2, CVT_Done }, // Convert__imm_95_263__RegGPRC1_1 { CVT_imm_95_263, 0, CVT_95_addRegGPRCOperands, 2, CVT_Done }, // Convert__imm_95_272__RegGPRC1_0 { CVT_imm_95_272, 0, CVT_95_addRegGPRCOperands, 1, CVT_Done }, // Convert__imm_95_273__RegGPRC1_0 { CVT_imm_95_273, 0, CVT_95_addRegGPRCOperands, 1, CVT_Done }, // Convert__imm_95_274__RegGPRC1_0 { CVT_imm_95_274, 0, CVT_95_addRegGPRCOperands, 1, CVT_Done }, // Convert__imm_95_275__RegGPRC1_0 { CVT_imm_95_275, 0, CVT_95_addRegGPRCOperands, 1, CVT_Done }, // Convert__imm_95_260__RegGPRC1_0 { CVT_imm_95_260, 0, CVT_95_addRegGPRCOperands, 1, CVT_Done }, // Convert__imm_95_261__RegGPRC1_0 { CVT_imm_95_261, 0, CVT_95_addRegGPRCOperands, 1, CVT_Done }, // Convert__imm_95_262__RegGPRC1_0 { CVT_imm_95_262, 0, CVT_95_addRegGPRCOperands, 1, CVT_Done }, // Convert__imm_95_263__RegGPRC1_0 { CVT_imm_95_263, 0, CVT_95_addRegGPRCOperands, 1, CVT_Done }, // Convert__RegGPRC1_1__U4Imm1_0 { CVT_95_addRegGPRCOperands, 2, CVT_95_addImmOperands, 1, CVT_Done }, // Convert__imm_95_26__RegGPRC1_0 { CVT_imm_95_26, 0, CVT_95_addRegGPRCOperands, 1, CVT_Done }, // Convert__imm_95_27__RegGPRC1_0 { CVT_imm_95_27, 0, CVT_95_addRegGPRCOperands, 1, CVT_Done }, // Convert__imm_95_990__RegGPRC1_0 { CVT_imm_95_990, 0, CVT_95_addRegGPRCOperands, 1, CVT_Done }, // Convert__imm_95_991__RegGPRC1_0 { CVT_imm_95_991, 0, CVT_95_addRegGPRCOperands, 1, CVT_Done }, // Convert__imm_95_988__RegGPRC1_0 { CVT_imm_95_988, 0, CVT_95_addRegGPRCOperands, 1, CVT_Done }, // Convert__imm_95_284__RegGPRC1_0 { CVT_imm_95_284, 0, CVT_95_addRegGPRCOperands, 1, CVT_Done }, // Convert__imm_95_989__RegGPRC1_0 { CVT_imm_95_989, 0, CVT_95_addRegGPRCOperands, 1, CVT_Done }, // Convert__imm_95_285__RegGPRC1_0 { CVT_imm_95_285, 0, CVT_95_addRegGPRCOperands, 1, CVT_Done }, // Convert__imm_95_986__RegGPRC1_0 { CVT_imm_95_986, 0, CVT_95_addRegGPRCOperands, 1, CVT_Done }, // Convert__RegVSFRC1_0__RegG8RC1_1 { CVT_95_addRegVSFRCOperands, 1, CVT_95_addRegG8RCOperands, 2, CVT_Done }, // Convert__RegVSFRC1_0__RegGPRC1_1 { CVT_95_addRegVSFRCOperands, 1, CVT_95_addRegGPRCOperands, 2, CVT_Done }, // Convert__imm_95_1__RegGPRC1_0 { CVT_imm_95_1, 0, CVT_95_addRegGPRCOperands, 1, CVT_Done }, // Convert__RegGPRC1_0__RegGPRC1_1__U16Imm1_2 { CVT_95_addRegGPRCOperands, 1, CVT_95_addRegGPRCOperands, 2, CVT_95_addU16ImmOperands, 3, CVT_Done }, // Convert__imm_95_2 { CVT_imm_95_2, 0, CVT_Done }, // Convert__RegQFRC1_0__RegQFRC1_1__RegQFRC1_2__U2Imm1_3 { CVT_95_addRegQFRCOperands, 1, CVT_95_addRegQFRCOperands, 2, CVT_95_addRegQFRCOperands, 3, CVT_95_addImmOperands, 4, CVT_Done }, // Convert__RegQFRC1_0__RegQFRC1_1__U2Imm1_2 { CVT_95_addRegQFRCOperands, 1, CVT_95_addRegQFRCOperands, 2, CVT_95_addImmOperands, 3, CVT_Done }, // Convert__RegQFRC1_0__RegQFRC1_1 { CVT_95_addRegQFRCOperands, 1, CVT_95_addRegQFRCOperands, 2, CVT_Done }, // Convert__RegQFRC1_0__RegQFRC1_1__RegQFRC1_2 { CVT_95_addRegQFRCOperands, 1, CVT_95_addRegQFRCOperands, 2, CVT_95_addRegQFRCOperands, 3, CVT_Done }, // Convert__RegQSRC1_0__RegQSRC1_1__RegQSRC1_2 { CVT_95_addRegQSRCOperands, 1, CVT_95_addRegQSRCOperands, 2, CVT_95_addRegQSRCOperands, 3, CVT_Done }, // Convert__RegQBRC1_0__RegQBRC1_1__RegQBRC1_2__imm_95_1 { CVT_95_addRegQBRCOperands, 1, CVT_95_addRegQBRCOperands, 2, CVT_95_addRegQBRCOperands, 3, CVT_imm_95_1, 0, CVT_Done }, // Convert__RegQBRC1_0__RegQBRC1_1__RegQBRC1_2__imm_95_4 { CVT_95_addRegQBRCOperands, 1, CVT_95_addRegQBRCOperands, 2, CVT_95_addRegQBRCOperands, 3, CVT_imm_95_4, 0, CVT_Done }, // Convert__RegQBRC1_0__RegQBRC1_0__RegQBRC1_0__imm_95_0 { CVT_95_addRegQBRCOperands, 1, CVT_95_addRegQBRCOperands, 1, CVT_95_addRegQBRCOperands, 1, CVT_imm_95_0, 0, CVT_Done }, // Convert__RegQBRC1_0__RegQFRC1_1__RegQFRC1_2 { CVT_95_addRegQBRCOperands, 1, CVT_95_addRegQFRCOperands, 2, CVT_95_addRegQFRCOperands, 3, CVT_Done }, // Convert__RegQBRC1_0__RegQBRC1_1__RegQBRC1_1__imm_95_5 { CVT_95_addRegQBRCOperands, 1, CVT_95_addRegQBRCOperands, 2, CVT_95_addRegQBRCOperands, 2, CVT_imm_95_5, 0, CVT_Done }, // Convert__RegQBRC1_0__RegQBRC1_1__RegQBRC1_2__imm_95_9 { CVT_95_addRegQBRCOperands, 1, CVT_95_addRegQBRCOperands, 2, CVT_95_addRegQBRCOperands, 3, CVT_imm_95_9, 0, CVT_Done }, // Convert__RegQBRC1_0__RegQBRC1_1__RegQBRC1_2__U12Imm1_3 { CVT_95_addRegQBRCOperands, 1, CVT_95_addRegQBRCOperands, 2, CVT_95_addRegQBRCOperands, 3, CVT_95_addImmOperands, 4, CVT_Done }, // Convert__RegQFRC1_0__RegQFRC1_1__RegQFRC1_3__RegQFRC1_2 { CVT_95_addRegQFRCOperands, 1, CVT_95_addRegQFRCOperands, 2, CVT_95_addRegQFRCOperands, 4, CVT_95_addRegQFRCOperands, 3, CVT_Done }, // Convert__RegQSRC1_0__RegQSRC1_1__RegQSRC1_3__RegQSRC1_2 { CVT_95_addRegQSRCOperands, 1, CVT_95_addRegQSRCOperands, 2, CVT_95_addRegQSRCOperands, 4, CVT_95_addRegQSRCOperands, 3, CVT_Done }, // Convert__RegQBRC1_0__RegQBRC1_1__RegQBRC1_2__imm_95_14 { CVT_95_addRegQBRCOperands, 1, CVT_95_addRegQBRCOperands, 2, CVT_95_addRegQBRCOperands, 3, CVT_imm_95_14, 0, CVT_Done }, // Convert__RegQBRC1_0__RegQBRC1_1__RegQBRC1_2__imm_95_8 { CVT_95_addRegQBRCOperands, 1, CVT_95_addRegQBRCOperands, 2, CVT_95_addRegQBRCOperands, 3, CVT_imm_95_8, 0, CVT_Done }, // Convert__RegQBRC1_0__RegQBRC1_1__RegQBRC1_1__imm_95_10 { CVT_95_addRegQBRCOperands, 1, CVT_95_addRegQBRCOperands, 2, CVT_95_addRegQBRCOperands, 2, CVT_imm_95_10, 0, CVT_Done }, // Convert__RegQBRC1_0__RegQBRC1_1__RegQBRC1_2__imm_95_7 { CVT_95_addRegQBRCOperands, 1, CVT_95_addRegQBRCOperands, 2, CVT_95_addRegQBRCOperands, 3, CVT_imm_95_7, 0, CVT_Done }, // Convert__RegQBRC1_0__RegQBRC1_1__RegQBRC1_2__imm_95_13 { CVT_95_addRegQBRCOperands, 1, CVT_95_addRegQBRCOperands, 2, CVT_95_addRegQBRCOperands, 3, CVT_imm_95_13, 0, CVT_Done }, // Convert__RegQFRC1_0__RegQFRC1_1__RegQFRC1_2__RegQFRC1_3 { CVT_95_addRegQFRCOperands, 1, CVT_95_addRegQFRCOperands, 2, CVT_95_addRegQFRCOperands, 3, CVT_95_addRegQFRCOperands, 4, CVT_Done }, // Convert__RegQSRC1_0__RegQFRC1_1 { CVT_95_addRegQSRCOperands, 1, CVT_95_addRegQFRCOperands, 2, CVT_Done }, // Convert__RegQFRC1_0__RegQBRC1_1__RegQFRC1_3__RegQFRC1_2 { CVT_95_addRegQFRCOperands, 1, CVT_95_addRegQBRCOperands, 2, CVT_95_addRegQFRCOperands, 4, CVT_95_addRegQFRCOperands, 3, CVT_Done }, // Convert__RegQBRC1_0__RegQBRC1_0__RegQBRC1_0__imm_95_15 { CVT_95_addRegQBRCOperands, 1, CVT_95_addRegQBRCOperands, 1, CVT_95_addRegQBRCOperands, 1, CVT_imm_95_15, 0, CVT_Done }, // Convert__RegQBRC1_0__RegQBRC1_1__RegQBRC1_2__imm_95_6 { CVT_95_addRegQBRCOperands, 1, CVT_95_addRegQBRCOperands, 2, CVT_95_addRegQBRCOperands, 3, CVT_imm_95_6, 0, CVT_Done }, // Convert__RegQFRC1_0__U12Imm1_1 { CVT_95_addRegQFRCOperands, 1, CVT_95_addImmOperands, 2, CVT_Done }, // Convert__RegQFRC1_0__RegGxRCNoR01_1__RegGxRC1_2 { CVT_95_addRegQFRCOperands, 1, CVT_95_addRegGxRCNoR0Operands, 2, CVT_95_addRegGxRCOperands, 3, CVT_Done }, // Convert__RegQFRC1_0__imm_95_0__RegGxRCNoR01_1__RegGxRC1_2 { CVT_95_addRegQFRCOperands, 1, CVT_imm_95_0, 0, CVT_95_addRegGxRCNoR0Operands, 2, CVT_95_addRegGxRCOperands, 3, CVT_Done }, // Convert__RegQSRC1_0__imm_95_0__RegGxRCNoR01_1__RegGxRC1_2 { CVT_95_addRegQSRCOperands, 1, CVT_imm_95_0, 0, CVT_95_addRegGxRCNoR0Operands, 2, CVT_95_addRegGxRCOperands, 3, CVT_Done }, // Convert__imm_95_0__RegQFRC1_0__RegGxRCNoR01_1__RegGxRC1_2 { CVT_imm_95_0, 0, CVT_95_addRegQFRCOperands, 1, CVT_95_addRegGxRCNoR0Operands, 2, CVT_95_addRegGxRCOperands, 3, CVT_Done }, // Convert__imm_95_0__RegQSRC1_0__RegGxRCNoR01_1__RegGxRC1_2 { CVT_imm_95_0, 0, CVT_95_addRegQSRCOperands, 1, CVT_95_addRegGxRCNoR0Operands, 2, CVT_95_addRegGxRCOperands, 3, CVT_Done }, // Convert__U1Imm1_0 { CVT_95_addImmOperands, 1, CVT_Done }, // Convert__RegG8RC1_0__RegG8RC1_1__RegGPRC1_2__U6Imm1_3 { CVT_95_addRegG8RCOperands, 1, CVT_95_addRegG8RCOperands, 2, CVT_95_addRegGPRCOperands, 3, CVT_95_addImmOperands, 4, CVT_Done }, // Convert__RegG8RC1_1__RegG8RC1_2__RegGPRC1_3__U6Imm1_4 { CVT_95_addRegG8RCOperands, 2, CVT_95_addRegG8RCOperands, 3, CVT_95_addRegGPRCOperands, 4, CVT_95_addImmOperands, 5, CVT_Done }, // Convert__RegG8RC1_0__Tie0__RegG8RC1_1__U6Imm1_2__U6Imm1_3 { CVT_95_addRegG8RCOperands, 1, CVT_Tied, 0, CVT_95_addRegG8RCOperands, 2, CVT_95_addImmOperands, 3, CVT_95_addImmOperands, 4, CVT_Done }, // Convert__RegG8RC1_1__Tie0__RegG8RC1_2__U6Imm1_3__U6Imm1_4 { CVT_95_addRegG8RCOperands, 2, CVT_Tied, 0, CVT_95_addRegG8RCOperands, 3, CVT_95_addImmOperands, 4, CVT_95_addImmOperands, 5, CVT_Done }, // Convert__RegG8RC1_0__RegG8RC1_1__U5Imm1_2__Imm1_3 { CVT_95_addRegG8RCOperands, 1, CVT_95_addRegG8RCOperands, 2, CVT_95_addImmOperands, 3, CVT_95_addImmOperands, 4, CVT_Done }, // Convert__RegG8RC1_1__RegG8RC1_2__U5Imm1_3__Imm1_4 { CVT_95_addRegG8RCOperands, 2, CVT_95_addRegG8RCOperands, 3, CVT_95_addImmOperands, 4, CVT_95_addImmOperands, 5, CVT_Done }, // Convert__RegGPRC1_0__Tie0__RegGPRC1_1__U5Imm1_2__U5Imm1_3__U5Imm1_4 { CVT_95_addRegGPRCOperands, 1, CVT_Tied, 0, CVT_95_addRegGPRCOperands, 2, CVT_95_addImmOperands, 3, CVT_95_addImmOperands, 4, CVT_95_addImmOperands, 5, CVT_Done }, // Convert__RegGPRC1_1__Tie0__RegGPRC1_2__U5Imm1_3__U5Imm1_4__U5Imm1_5 { CVT_95_addRegGPRCOperands, 2, CVT_Tied, 0, CVT_95_addRegGPRCOperands, 3, CVT_95_addImmOperands, 4, CVT_95_addImmOperands, 5, CVT_95_addImmOperands, 6, CVT_Done }, // Convert__RegGPRC1_0__RegGPRC1_1__U5Imm1_2__U5Imm1_3__U5Imm1_4 { CVT_95_addRegGPRCOperands, 1, CVT_95_addRegGPRCOperands, 2, CVT_95_addImmOperands, 3, CVT_95_addImmOperands, 4, CVT_95_addImmOperands, 5, CVT_Done }, // Convert__RegGPRC1_1__RegGPRC1_2__U5Imm1_3__U5Imm1_4__U5Imm1_5 { CVT_95_addRegGPRCOperands, 2, CVT_95_addRegGPRCOperands, 3, CVT_95_addImmOperands, 4, CVT_95_addImmOperands, 5, CVT_95_addImmOperands, 6, CVT_Done }, // Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2__U5Imm1_3__U5Imm1_4 { CVT_95_addRegGPRCOperands, 1, CVT_95_addRegGPRCOperands, 2, CVT_95_addRegGPRCOperands, 3, CVT_95_addImmOperands, 4, CVT_95_addImmOperands, 5, CVT_Done }, // Convert__RegGPRC1_1__RegGPRC1_2__RegGPRC1_3__U5Imm1_4__U5Imm1_5 { CVT_95_addRegGPRCOperands, 2, CVT_95_addRegGPRCOperands, 3, CVT_95_addRegGPRCOperands, 4, CVT_95_addImmOperands, 5, CVT_95_addImmOperands, 6, CVT_Done }, // Convert__RegG8RC1_0__RegG8RC1_1__RegGPRC1_2__imm_95_0 { CVT_95_addRegG8RCOperands, 1, CVT_95_addRegG8RCOperands, 2, CVT_95_addRegGPRCOperands, 3, CVT_imm_95_0, 0, CVT_Done }, // Convert__RegG8RC1_1__RegG8RC1_2__RegGPRC1_3__imm_95_0 { CVT_95_addRegG8RCOperands, 2, CVT_95_addRegG8RCOperands, 3, CVT_95_addRegGPRCOperands, 4, CVT_imm_95_0, 0, CVT_Done }, // Convert__RegG8RC1_0__RegG8RC1_1__U6Imm1_2__imm_95_0 { CVT_95_addRegG8RCOperands, 1, CVT_95_addRegG8RCOperands, 2, CVT_95_addImmOperands, 3, CVT_imm_95_0, 0, CVT_Done }, // Convert__RegG8RC1_1__RegG8RC1_2__U6Imm1_3__imm_95_0 { CVT_95_addRegG8RCOperands, 2, CVT_95_addRegG8RCOperands, 3, CVT_95_addImmOperands, 4, CVT_imm_95_0, 0, CVT_Done }, // Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2__imm_95_0__imm_95_31 { CVT_95_addRegGPRCOperands, 1, CVT_95_addRegGPRCOperands, 2, CVT_95_addRegGPRCOperands, 3, CVT_imm_95_0, 0, CVT_imm_95_31, 0, CVT_Done }, // Convert__RegGPRC1_1__RegGPRC1_2__RegGPRC1_3__imm_95_0__imm_95_31 { CVT_95_addRegGPRCOperands, 2, CVT_95_addRegGPRCOperands, 3, CVT_95_addRegGPRCOperands, 4, CVT_imm_95_0, 0, CVT_imm_95_31, 0, CVT_Done }, // Convert__RegGPRC1_0__RegGPRC1_1__U5Imm1_2__imm_95_0__imm_95_31 { CVT_95_addRegGPRCOperands, 1, CVT_95_addRegGPRCOperands, 2, CVT_95_addImmOperands, 3, CVT_imm_95_0, 0, CVT_imm_95_31, 0, CVT_Done }, // Convert__RegGPRC1_1__RegGPRC1_2__U5Imm1_3__imm_95_0__imm_95_31 { CVT_95_addRegGPRCOperands, 2, CVT_95_addRegGPRCOperands, 3, CVT_95_addImmOperands, 4, CVT_imm_95_0, 0, CVT_imm_95_31, 0, CVT_Done }, // Convert__Imm1_0 { CVT_95_addImmOperands, 1, CVT_Done }, // Convert__RegG8RC1_0__RegG8RC1_1__RegGPRC1_2 { CVT_95_addRegG8RCOperands, 1, CVT_95_addRegG8RCOperands, 2, CVT_95_addRegGPRCOperands, 3, CVT_Done }, // Convert__RegG8RC1_1__RegG8RC1_2__RegGPRC1_3 { CVT_95_addRegG8RCOperands, 2, CVT_95_addRegG8RCOperands, 3, CVT_95_addRegGPRCOperands, 4, CVT_Done }, // Convert__RegGPRC1_1__RegGxRCNoR01_2__RegGxRC1_3 { CVT_95_addRegGPRCOperands, 2, CVT_95_addRegGxRCNoR0Operands, 3, CVT_95_addRegGxRCOperands, 4, CVT_Done }, // Convert__imm_95_0__RegGPRC1_0__DispRI1_1__RegGxRCNoR01_2 { CVT_imm_95_0, 0, CVT_95_addRegGPRCOperands, 1, CVT_95_addS16ImmOperands, 2, CVT_95_addRegGxRCNoR0Operands, 3, CVT_Done }, // Convert__imm_95_0__RegGPRC1_0__RegGxRCNoR01_1__RegGxRC1_2 { CVT_imm_95_0, 0, CVT_95_addRegGPRCOperands, 1, CVT_95_addRegGxRCNoR0Operands, 2, CVT_95_addRegGxRCOperands, 3, CVT_Done }, // Convert__RegG8RC1_1__RegGxRCNoR01_2__RegGxRC1_3 { CVT_95_addRegG8RCOperands, 2, CVT_95_addRegGxRCNoR0Operands, 3, CVT_95_addRegGxRCOperands, 4, CVT_Done }, // Convert__imm_95_0__RegG8RC1_0__DispRIX1_1__RegGxRCNoR01_2 { CVT_imm_95_0, 0, CVT_95_addRegG8RCOperands, 1, CVT_95_addImmOperands, 2, CVT_95_addRegGxRCNoR0Operands, 3, CVT_Done }, // Convert__imm_95_0__RegG8RC1_0__RegGxRCNoR01_1__RegGxRC1_2 { CVT_imm_95_0, 0, CVT_95_addRegG8RCOperands, 1, CVT_95_addRegGxRCNoR0Operands, 2, CVT_95_addRegGxRCOperands, 3, CVT_Done }, // Convert__imm_95_0__RegF8RC1_0__DispRI1_1__RegGxRCNoR01_2 { CVT_imm_95_0, 0, CVT_95_addRegF8RCOperands, 1, CVT_95_addS16ImmOperands, 2, CVT_95_addRegGxRCNoR0Operands, 3, CVT_Done }, // Convert__imm_95_0__RegF8RC1_0__RegGxRCNoR01_1__RegGxRC1_2 { CVT_imm_95_0, 0, CVT_95_addRegF8RCOperands, 1, CVT_95_addRegGxRCNoR0Operands, 2, CVT_95_addRegGxRCOperands, 3, CVT_Done }, // Convert__imm_95_0__RegF4RC1_0__DispRI1_1__RegGxRCNoR01_2 { CVT_imm_95_0, 0, CVT_95_addRegF4RCOperands, 1, CVT_95_addS16ImmOperands, 2, CVT_95_addRegGxRCNoR0Operands, 3, CVT_Done }, // Convert__imm_95_0__RegF4RC1_0__RegGxRCNoR01_1__RegGxRC1_2 { CVT_imm_95_0, 0, CVT_95_addRegF4RCOperands, 1, CVT_95_addRegGxRCNoR0Operands, 2, CVT_95_addRegGxRCOperands, 3, CVT_Done }, // Convert__RegG8RC1_0__RegG8RC1_2__RegG8RC1_1 { CVT_95_addRegG8RCOperands, 1, CVT_95_addRegG8RCOperands, 3, CVT_95_addRegG8RCOperands, 2, CVT_Done }, // Convert__RegG8RC1_1__RegG8RC1_3__RegG8RC1_2 { CVT_95_addRegG8RCOperands, 2, CVT_95_addRegG8RCOperands, 4, CVT_95_addRegG8RCOperands, 3, CVT_Done }, // Convert__imm_95_0__RegGPRC1_1 { CVT_imm_95_0, 0, CVT_95_addRegGPRCOperands, 2, CVT_Done }, // Convert__imm_95_0__U5Imm1_1__RegGPRC1_2__RegGPRC1_3 { CVT_imm_95_0, 0, CVT_95_addImmOperands, 2, CVT_95_addRegGPRCOperands, 3, CVT_95_addRegGPRCOperands, 4, CVT_Done }, // Convert__imm_95_0__U5Imm1_1__RegGPRC1_2__U5Imm1_3 { CVT_imm_95_0, 0, CVT_95_addImmOperands, 2, CVT_95_addRegGPRCOperands, 3, CVT_95_addImmOperands, 4, CVT_Done }, // Convert__imm_95_0__U1Imm1_1 { CVT_imm_95_0, 0, CVT_95_addImmOperands, 2, CVT_Done }, // Convert__RegCRRC1_0 { CVT_95_addRegCRRCOperands, 1, CVT_Done }, // Convert__U5Imm1_0__RegG8RC1_1__RegG8RC1_2 { CVT_95_addImmOperands, 1, CVT_95_addRegG8RCOperands, 2, CVT_95_addRegG8RCOperands, 3, CVT_Done }, // Convert__imm_95_4__RegG8RC1_0__RegG8RC1_1 { CVT_imm_95_4, 0, CVT_95_addRegG8RCOperands, 1, CVT_95_addRegG8RCOperands, 2, CVT_Done }, // Convert__imm_95_4__RegG8RC1_0__S16Imm1_1 { CVT_imm_95_4, 0, CVT_95_addRegG8RCOperands, 1, CVT_95_addS16ImmOperands, 2, CVT_Done }, // Convert__imm_95_12__RegG8RC1_0__RegG8RC1_1 { CVT_imm_95_12, 0, CVT_95_addRegG8RCOperands, 1, CVT_95_addRegG8RCOperands, 2, CVT_Done }, // Convert__imm_95_12__RegG8RC1_0__S16Imm1_1 { CVT_imm_95_12, 0, CVT_95_addRegG8RCOperands, 1, CVT_95_addS16ImmOperands, 2, CVT_Done }, // Convert__imm_95_8__RegG8RC1_0__RegG8RC1_1 { CVT_imm_95_8, 0, CVT_95_addRegG8RCOperands, 1, CVT_95_addRegG8RCOperands, 2, CVT_Done }, // Convert__imm_95_8__RegG8RC1_0__S16Imm1_1 { CVT_imm_95_8, 0, CVT_95_addRegG8RCOperands, 1, CVT_95_addS16ImmOperands, 2, CVT_Done }, // Convert__U5Imm1_0__RegG8RC1_1__S16Imm1_2 { CVT_95_addImmOperands, 1, CVT_95_addRegG8RCOperands, 2, CVT_95_addS16ImmOperands, 3, CVT_Done }, // Convert__imm_95_20__RegG8RC1_0__RegG8RC1_1 { CVT_imm_95_20, 0, CVT_95_addRegG8RCOperands, 1, CVT_95_addRegG8RCOperands, 2, CVT_Done }, // Convert__imm_95_20__RegG8RC1_0__S16Imm1_1 { CVT_imm_95_20, 0, CVT_95_addRegG8RCOperands, 1, CVT_95_addS16ImmOperands, 2, CVT_Done }, // Convert__imm_95_5__RegG8RC1_0__RegG8RC1_1 { CVT_imm_95_5, 0, CVT_95_addRegG8RCOperands, 1, CVT_95_addRegG8RCOperands, 2, CVT_Done }, // Convert__imm_95_5__RegG8RC1_0__S16Imm1_1 { CVT_imm_95_5, 0, CVT_95_addRegG8RCOperands, 1, CVT_95_addS16ImmOperands, 2, CVT_Done }, // Convert__imm_95_1__RegG8RC1_0__RegG8RC1_1 { CVT_imm_95_1, 0, CVT_95_addRegG8RCOperands, 1, CVT_95_addRegG8RCOperands, 2, CVT_Done }, // Convert__imm_95_1__RegG8RC1_0__S16Imm1_1 { CVT_imm_95_1, 0, CVT_95_addRegG8RCOperands, 1, CVT_95_addS16ImmOperands, 2, CVT_Done }, // Convert__imm_95_6__RegG8RC1_0__RegG8RC1_1 { CVT_imm_95_6, 0, CVT_95_addRegG8RCOperands, 1, CVT_95_addRegG8RCOperands, 2, CVT_Done }, // Convert__imm_95_6__RegG8RC1_0__S16Imm1_1 { CVT_imm_95_6, 0, CVT_95_addRegG8RCOperands, 1, CVT_95_addS16ImmOperands, 2, CVT_Done }, // Convert__imm_95_2__RegG8RC1_0__RegG8RC1_1 { CVT_imm_95_2, 0, CVT_95_addRegG8RCOperands, 1, CVT_95_addRegG8RCOperands, 2, CVT_Done }, // Convert__imm_95_2__RegG8RC1_0__S16Imm1_1 { CVT_imm_95_2, 0, CVT_95_addRegG8RCOperands, 1, CVT_95_addS16ImmOperands, 2, CVT_Done }, // Convert__imm_95_16__RegG8RC1_0__RegG8RC1_1 { CVT_imm_95_16, 0, CVT_95_addRegG8RCOperands, 1, CVT_95_addRegG8RCOperands, 2, CVT_Done }, // Convert__imm_95_16__RegG8RC1_0__S16Imm1_1 { CVT_imm_95_16, 0, CVT_95_addRegG8RCOperands, 1, CVT_95_addS16ImmOperands, 2, CVT_Done }, // Convert__imm_95_24__RegG8RC1_0__RegG8RC1_1 { CVT_imm_95_24, 0, CVT_95_addRegG8RCOperands, 1, CVT_95_addRegG8RCOperands, 2, CVT_Done }, // Convert__imm_95_24__RegG8RC1_0__S16Imm1_1 { CVT_imm_95_24, 0, CVT_95_addRegG8RCOperands, 1, CVT_95_addS16ImmOperands, 2, CVT_Done }, // Convert__imm_95_31__RegG8RC1_0__RegG8RC1_1 { CVT_imm_95_31, 0, CVT_95_addRegG8RCOperands, 1, CVT_95_addRegG8RCOperands, 2, CVT_Done }, // Convert__imm_95_31__RegG8RC1_0__S16Imm1_1 { CVT_imm_95_31, 0, CVT_95_addRegG8RCOperands, 1, CVT_95_addS16ImmOperands, 2, CVT_Done }, // Convert__regR0__RegGPRC1_0 { CVT_regR0, 0, CVT_95_addRegGPRCOperands, 1, CVT_Done }, // Convert__RegGPRC1_1__RegGPRC1_0 { CVT_95_addRegGPRCOperands, 2, CVT_95_addRegGPRCOperands, 1, CVT_Done }, // Convert__RegGPRC1_0__RegGPRC1_1__Imm1_2 { CVT_95_addRegGPRCOperands, 1, CVT_95_addRegGPRCOperands, 2, CVT_95_addImmOperands, 3, CVT_Done }, // Convert__RegGPRC1_0__RegGPRC1_1__imm_95_0 { CVT_95_addRegGPRCOperands, 1, CVT_95_addRegGPRCOperands, 2, CVT_imm_95_0, 0, CVT_Done }, // Convert__RegGPRC1_0__RegGPRC1_1__imm_95_1 { CVT_95_addRegGPRCOperands, 1, CVT_95_addRegGPRCOperands, 2, CVT_imm_95_1, 0, CVT_Done }, // Convert__U5Imm1_0__RegGPRC1_1__RegGPRC1_2 { CVT_95_addImmOperands, 1, CVT_95_addRegGPRCOperands, 2, CVT_95_addRegGPRCOperands, 3, CVT_Done }, // Convert__imm_95_4__RegGPRC1_0__RegGPRC1_1 { CVT_imm_95_4, 0, CVT_95_addRegGPRCOperands, 1, CVT_95_addRegGPRCOperands, 2, CVT_Done }, // Convert__imm_95_4__RegGPRC1_0__S16Imm1_1 { CVT_imm_95_4, 0, CVT_95_addRegGPRCOperands, 1, CVT_95_addS16ImmOperands, 2, CVT_Done }, // Convert__imm_95_12__RegGPRC1_0__RegGPRC1_1 { CVT_imm_95_12, 0, CVT_95_addRegGPRCOperands, 1, CVT_95_addRegGPRCOperands, 2, CVT_Done }, // Convert__imm_95_12__RegGPRC1_0__S16Imm1_1 { CVT_imm_95_12, 0, CVT_95_addRegGPRCOperands, 1, CVT_95_addS16ImmOperands, 2, CVT_Done }, // Convert__imm_95_8__RegGPRC1_0__RegGPRC1_1 { CVT_imm_95_8, 0, CVT_95_addRegGPRCOperands, 1, CVT_95_addRegGPRCOperands, 2, CVT_Done }, // Convert__imm_95_8__RegGPRC1_0__S16Imm1_1 { CVT_imm_95_8, 0, CVT_95_addRegGPRCOperands, 1, CVT_95_addS16ImmOperands, 2, CVT_Done }, // Convert__U5Imm1_0__RegGPRC1_1__S16Imm1_2 { CVT_95_addImmOperands, 1, CVT_95_addRegGPRCOperands, 2, CVT_95_addS16ImmOperands, 3, CVT_Done }, // Convert__imm_95_20__RegGPRC1_0__RegGPRC1_1 { CVT_imm_95_20, 0, CVT_95_addRegGPRCOperands, 1, CVT_95_addRegGPRCOperands, 2, CVT_Done }, // Convert__imm_95_20__RegGPRC1_0__S16Imm1_1 { CVT_imm_95_20, 0, CVT_95_addRegGPRCOperands, 1, CVT_95_addS16ImmOperands, 2, CVT_Done }, // Convert__imm_95_5__RegGPRC1_0__RegGPRC1_1 { CVT_imm_95_5, 0, CVT_95_addRegGPRCOperands, 1, CVT_95_addRegGPRCOperands, 2, CVT_Done }, // Convert__imm_95_5__RegGPRC1_0__S16Imm1_1 { CVT_imm_95_5, 0, CVT_95_addRegGPRCOperands, 1, CVT_95_addS16ImmOperands, 2, CVT_Done }, // Convert__imm_95_1__RegGPRC1_0__RegGPRC1_1 { CVT_imm_95_1, 0, CVT_95_addRegGPRCOperands, 1, CVT_95_addRegGPRCOperands, 2, CVT_Done }, // Convert__imm_95_1__RegGPRC1_0__S16Imm1_1 { CVT_imm_95_1, 0, CVT_95_addRegGPRCOperands, 1, CVT_95_addS16ImmOperands, 2, CVT_Done }, // Convert__imm_95_6__RegGPRC1_0__RegGPRC1_1 { CVT_imm_95_6, 0, CVT_95_addRegGPRCOperands, 1, CVT_95_addRegGPRCOperands, 2, CVT_Done }, // Convert__imm_95_6__RegGPRC1_0__S16Imm1_1 { CVT_imm_95_6, 0, CVT_95_addRegGPRCOperands, 1, CVT_95_addS16ImmOperands, 2, CVT_Done }, // Convert__imm_95_2__RegGPRC1_0__RegGPRC1_1 { CVT_imm_95_2, 0, CVT_95_addRegGPRCOperands, 1, CVT_95_addRegGPRCOperands, 2, CVT_Done }, // Convert__imm_95_2__RegGPRC1_0__S16Imm1_1 { CVT_imm_95_2, 0, CVT_95_addRegGPRCOperands, 1, CVT_95_addS16ImmOperands, 2, CVT_Done }, // Convert__imm_95_16__RegGPRC1_0__RegGPRC1_1 { CVT_imm_95_16, 0, CVT_95_addRegGPRCOperands, 1, CVT_95_addRegGPRCOperands, 2, CVT_Done }, // Convert__imm_95_16__RegGPRC1_0__S16Imm1_1 { CVT_imm_95_16, 0, CVT_95_addRegGPRCOperands, 1, CVT_95_addS16ImmOperands, 2, CVT_Done }, // Convert__imm_95_24__RegGPRC1_0__RegGPRC1_1 { CVT_imm_95_24, 0, CVT_95_addRegGPRCOperands, 1, CVT_95_addRegGPRCOperands, 2, CVT_Done }, // Convert__imm_95_24__RegGPRC1_0__S16Imm1_1 { CVT_imm_95_24, 0, CVT_95_addRegGPRCOperands, 1, CVT_95_addS16ImmOperands, 2, CVT_Done }, // Convert__imm_95_31__RegGPRC1_0__RegGPRC1_1 { CVT_imm_95_31, 0, CVT_95_addRegGPRCOperands, 1, CVT_95_addRegGPRCOperands, 2, CVT_Done }, // Convert__imm_95_31__RegGPRC1_0__S16Imm1_1 { CVT_imm_95_31, 0, CVT_95_addRegGPRCOperands, 1, CVT_95_addS16ImmOperands, 2, CVT_Done }, // Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2 { CVT_95_addRegVRRCOperands, 1, CVT_95_addRegVRRCOperands, 2, CVT_95_addRegVRRCOperands, 3, CVT_Done }, // Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2__RegVRRC1_3 { CVT_95_addRegVRRCOperands, 1, CVT_95_addRegVRRCOperands, 2, CVT_95_addRegVRRCOperands, 3, CVT_95_addRegVRRCOperands, 4, CVT_Done }, // Convert__RegVRRC1_0__U5Imm1_2__RegVRRC1_1 { CVT_95_addRegVRRCOperands, 1, CVT_95_addImmOperands, 3, CVT_95_addRegVRRCOperands, 2, CVT_Done }, // Convert__RegVRRC1_0__RegVRRC1_1 { CVT_95_addRegVRRCOperands, 1, CVT_95_addRegVRRCOperands, 2, CVT_Done }, // Convert__RegVRRC1_1__RegVRRC1_2__RegVRRC1_3 { CVT_95_addRegVRRCOperands, 2, CVT_95_addRegVRRCOperands, 3, CVT_95_addRegVRRCOperands, 4, CVT_Done }, // Convert__RegVRRC1_0__RegVRRC1_1__U1Imm1_2__U4Imm1_3 { CVT_95_addRegVRRCOperands, 1, CVT_95_addRegVRRCOperands, 2, CVT_95_addImmOperands, 3, CVT_95_addImmOperands, 4, CVT_Done }, // Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2__U5Imm1_3 { CVT_95_addRegVRRCOperands, 1, CVT_95_addRegVRRCOperands, 2, CVT_95_addRegVRRCOperands, 3, CVT_95_addImmOperands, 4, CVT_Done }, // Convert__RegVRRC1_0__S5Imm1_1 { CVT_95_addRegVRRCOperands, 1, CVT_95_addImmOperands, 2, CVT_Done }, // Convert__regR0__regR0__imm_95_0 { CVT_regR0, 0, CVT_regR0, 0, CVT_imm_95_0, 0, CVT_Done }, // Convert__RegVSFRC1_0__RegVSFRC1_1 { CVT_95_addRegVSFRCOperands, 1, CVT_95_addRegVSFRCOperands, 2, CVT_Done }, // Convert__RegVSFRC1_0__RegVSFRC1_1__RegVSFRC1_2 { CVT_95_addRegVSFRCOperands, 1, CVT_95_addRegVSFRCOperands, 2, CVT_95_addRegVSFRCOperands, 3, CVT_Done }, // Convert__RegVSSRC1_0__RegVSSRC1_1__RegVSSRC1_2 { CVT_95_addRegVSSRCOperands, 1, CVT_95_addRegVSSRCOperands, 2, CVT_95_addRegVSSRCOperands, 3, CVT_Done }, // Convert__RegCRRC1_0__RegVSFRC1_1__RegVSFRC1_2 { CVT_95_addRegCRRCOperands, 1, CVT_95_addRegVSFRCOperands, 2, CVT_95_addRegVSFRCOperands, 3, CVT_Done }, // Convert__RegVSRC1_0__RegVSSRC1_1 { CVT_95_addRegVSRCOperands, 1, CVT_95_addRegVSSRCOperands, 2, CVT_Done }, // Convert__RegVSSRC1_0__RegVSRC1_1 { CVT_95_addRegVSSRCOperands, 1, CVT_95_addRegVSRCOperands, 2, CVT_Done }, // Convert__RegVSSRC1_0__RegVSFRC1_1 { CVT_95_addRegVSSRCOperands, 1, CVT_95_addRegVSFRCOperands, 2, CVT_Done }, // Convert__RegVSFRC1_0__Tie0__RegVSFRC1_1__RegVSFRC1_2 { CVT_95_addRegVSFRCOperands, 1, CVT_Tied, 0, CVT_95_addRegVSFRCOperands, 2, CVT_95_addRegVSFRCOperands, 3, CVT_Done }, // Convert__RegVSSRC1_0__Tie0__RegVSSRC1_1__RegVSSRC1_2 { CVT_95_addRegVSSRCOperands, 1, CVT_Tied, 0, CVT_95_addRegVSSRCOperands, 2, CVT_95_addRegVSSRCOperands, 3, CVT_Done }, // Convert__RegVSSRC1_0__RegVSSRC1_1 { CVT_95_addRegVSSRCOperands, 1, CVT_95_addRegVSSRCOperands, 2, CVT_Done }, // Convert__RegCRRC1_0__RegVSFRC1_1 { CVT_95_addRegCRRCOperands, 1, CVT_95_addRegVSFRCOperands, 2, CVT_Done }, // Convert__RegVSRC1_0__RegVSRC1_1 { CVT_95_addRegVSRCOperands, 1, CVT_95_addRegVSRCOperands, 2, CVT_Done }, // Convert__RegVSRC1_0__RegVSRC1_1__RegVSRC1_2 { CVT_95_addRegVSRCOperands, 1, CVT_95_addRegVSRCOperands, 2, CVT_95_addRegVSRCOperands, 3, CVT_Done }, // Convert__RegVSRC1_1__RegVSRC1_2__RegVSRC1_3 { CVT_95_addRegVSRCOperands, 2, CVT_95_addRegVSRCOperands, 3, CVT_95_addRegVSRCOperands, 4, CVT_Done }, // Convert__RegVSRC1_0__Tie0__RegVSRC1_1__RegVSRC1_2 { CVT_95_addRegVSRCOperands, 1, CVT_Tied, 0, CVT_95_addRegVSRCOperands, 2, CVT_95_addRegVSRCOperands, 3, CVT_Done }, // Convert__RegVSRC1_0__RegVSRC1_1__RegVSRC1_1 { CVT_95_addRegVSRCOperands, 1, CVT_95_addRegVSRCOperands, 2, CVT_95_addRegVSRCOperands, 2, CVT_Done }, // Convert__RegCRRC1_0__RegVSRC1_1__RegVSRC1_2 { CVT_95_addRegCRRCOperands, 1, CVT_95_addRegVSRCOperands, 2, CVT_95_addRegVSRCOperands, 3, CVT_Done }, // Convert__RegCRRC1_0__RegVSRC1_1 { CVT_95_addRegCRRCOperands, 1, CVT_95_addRegVSRCOperands, 2, CVT_Done }, // Convert__RegVSRC1_0__RegVSRC1_1__RegVSRC1_2__imm_95_0 { CVT_95_addRegVSRCOperands, 1, CVT_95_addRegVSRCOperands, 2, CVT_95_addRegVSRCOperands, 3, CVT_imm_95_0, 0, CVT_Done }, // Convert__RegVSRC1_0__RegVSRC1_1__RegVSRC1_2__imm_95_3 { CVT_95_addRegVSRCOperands, 1, CVT_95_addRegVSRCOperands, 2, CVT_95_addRegVSRCOperands, 3, CVT_imm_95_3, 0, CVT_Done }, // Convert__RegVSRC1_0__RegVSRC1_1__RegVSRC1_2__U2Imm1_3 { CVT_95_addRegVSRCOperands, 1, CVT_95_addRegVSRCOperands, 2, CVT_95_addRegVSRCOperands, 3, CVT_95_addImmOperands, 4, CVT_Done }, // Convert__RegVSRC1_0__RegVSRC1_1__RegVSRC1_2__RegVSRC1_3 { CVT_95_addRegVSRCOperands, 1, CVT_95_addRegVSRCOperands, 2, CVT_95_addRegVSRCOperands, 3, CVT_95_addRegVSRCOperands, 4, CVT_Done }, // Convert__RegVSRC1_0__RegVSRC1_1__RegVSRC1_1__imm_95_0 { CVT_95_addRegVSRCOperands, 1, CVT_95_addRegVSRCOperands, 2, CVT_95_addRegVSRCOperands, 2, CVT_imm_95_0, 0, CVT_Done }, // Convert__RegVSRC1_0__RegVSRC1_1__RegVSRC1_1__imm_95_3 { CVT_95_addRegVSRCOperands, 1, CVT_95_addRegVSRCOperands, 2, CVT_95_addRegVSRCOperands, 2, CVT_imm_95_3, 0, CVT_Done }, // Convert__RegVSRC1_0__RegVSRC1_1__U2Imm1_2 { CVT_95_addRegVSRCOperands, 1, CVT_95_addRegVSRCOperands, 2, CVT_95_addImmOperands, 3, CVT_Done }, // Convert__RegVSRC1_0__RegVSRC1_1__RegVSRC1_1__imm_95_2 { CVT_95_addRegVSRCOperands, 1, CVT_95_addRegVSRCOperands, 2, CVT_95_addRegVSRCOperands, 2, CVT_imm_95_2, 0, CVT_Done }, }; void PPCAsmParser:: convertToMCInst(unsigned Kind, MCInst &Inst, unsigned Opcode, const OperandVector &Operands) { assert(Kind < CVT_NUM_SIGNATURES && "Invalid signature!"); const uint8_t *Converter = ConversionTable[Kind]; Inst.setOpcode(Opcode); for (const uint8_t *p = Converter; *p; p+= 2) { switch (*p) { default: llvm_unreachable("invalid conversion entry!"); case CVT_Reg: static_cast(*Operands[*(p + 1)]).addRegOperands(Inst, 1); break; case CVT_Tied: Inst.addOperand(Inst.getOperand(*(p + 1))); break; case CVT_95_addRegG8RCOperands: static_cast(*Operands[*(p + 1)]).addRegG8RCOperands(Inst, 1); break; case CVT_95_addTLSRegOperands: static_cast(*Operands[*(p + 1)]).addTLSRegOperands(Inst, 1); break; case CVT_95_addRegGPRCOperands: static_cast(*Operands[*(p + 1)]).addRegGPRCOperands(Inst, 1); break; case CVT_95_addRegGPRCNoR0Operands: static_cast(*Operands[*(p + 1)]).addRegGPRCNoR0Operands(Inst, 1); break; case CVT_95_addS16ImmOperands: static_cast(*Operands[*(p + 1)]).addS16ImmOperands(Inst, 1); break; case CVT_95_addU16ImmOperands: static_cast(*Operands[*(p + 1)]).addU16ImmOperands(Inst, 1); break; case CVT_95_addBranchTargetOperands: static_cast(*Operands[*(p + 1)]).addBranchTargetOperands(Inst, 1); break; case CVT_95_addImmOperands: static_cast(*Operands[*(p + 1)]).addImmOperands(Inst, 1); break; case CVT_95_addRegCRBITRCOperands: static_cast(*Operands[*(p + 1)]).addRegCRBITRCOperands(Inst, 1); break; case CVT_imm_95_0: Inst.addOperand(MCOperand::createImm(0)); break; case CVT_imm_95_8: Inst.addOperand(MCOperand::createImm(8)); break; case CVT_imm_95_2: Inst.addOperand(MCOperand::createImm(2)); break; case CVT_imm_95_10: Inst.addOperand(MCOperand::createImm(10)); break; case CVT_imm_95_76: Inst.addOperand(MCOperand::createImm(76)); break; case CVT_regCR0: Inst.addOperand(MCOperand::createReg(PPC::CR0)); break; case CVT_95_addRegCRRCOperands: static_cast(*Operands[*(p + 1)]).addRegCRRCOperands(Inst, 1); break; case CVT_imm_95_79: Inst.addOperand(MCOperand::createImm(79)); break; case CVT_imm_95_78: Inst.addOperand(MCOperand::createImm(78)); break; case CVT_imm_95_4: Inst.addOperand(MCOperand::createImm(4)); break; case CVT_imm_95_7: Inst.addOperand(MCOperand::createImm(7)); break; case CVT_imm_95_6: Inst.addOperand(MCOperand::createImm(6)); break; case CVT_imm_95_44: Inst.addOperand(MCOperand::createImm(44)); break; case CVT_imm_95_47: Inst.addOperand(MCOperand::createImm(47)); break; case CVT_imm_95_46: Inst.addOperand(MCOperand::createImm(46)); break; case CVT_imm_95_36: Inst.addOperand(MCOperand::createImm(36)); break; case CVT_imm_95_39: Inst.addOperand(MCOperand::createImm(39)); break; case CVT_imm_95_38: Inst.addOperand(MCOperand::createImm(38)); break; case CVT_imm_95_12: Inst.addOperand(MCOperand::createImm(12)); break; case CVT_imm_95_15: Inst.addOperand(MCOperand::createImm(15)); break; case CVT_imm_95_14: Inst.addOperand(MCOperand::createImm(14)); break; case CVT_imm_95_68: Inst.addOperand(MCOperand::createImm(68)); break; case CVT_imm_95_71: Inst.addOperand(MCOperand::createImm(71)); break; case CVT_imm_95_70: Inst.addOperand(MCOperand::createImm(70)); break; case CVT_imm_95_100: Inst.addOperand(MCOperand::createImm(100)); break; case CVT_imm_95_103: Inst.addOperand(MCOperand::createImm(103)); break; case CVT_imm_95_102: Inst.addOperand(MCOperand::createImm(102)); break; case CVT_imm_95_108: Inst.addOperand(MCOperand::createImm(108)); break; case CVT_imm_95_111: Inst.addOperand(MCOperand::createImm(111)); break; case CVT_imm_95_110: Inst.addOperand(MCOperand::createImm(110)); break; case CVT_imm_95_31: Inst.addOperand(MCOperand::createImm(31)); break; case CVT_95_addRegGxRCNoR0Operands: static_cast(*Operands[*(p + 1)]).addRegGxRCNoR0Operands(Inst, 1); break; case CVT_95_addRegGxRCOperands: static_cast(*Operands[*(p + 1)]).addRegGxRCOperands(Inst, 1); break; case CVT_regR0: Inst.addOperand(MCOperand::createReg(PPC::R0)); break; case CVT_95_addRegF4RCOperands: static_cast(*Operands[*(p + 1)]).addRegF4RCOperands(Inst, 1); break; case CVT_95_addRegF8RCOperands: static_cast(*Operands[*(p + 1)]).addRegF8RCOperands(Inst, 1); break; case CVT_95_addRegVRRCOperands: static_cast(*Operands[*(p + 1)]).addRegVRRCOperands(Inst, 1); break; case CVT_imm_95_1: Inst.addOperand(MCOperand::createImm(1)); break; case CVT_95_addRegVSFRCOperands: static_cast(*Operands[*(p + 1)]).addRegVSFRCOperands(Inst, 1); break; case CVT_95_addRegVSSRCOperands: static_cast(*Operands[*(p + 1)]).addRegVSSRCOperands(Inst, 1); break; case CVT_95_addRegVSRCOperands: static_cast(*Operands[*(p + 1)]).addRegVSRCOperands(Inst, 1); break; case CVT_imm_95_29: Inst.addOperand(MCOperand::createImm(29)); break; case CVT_imm_95_280: Inst.addOperand(MCOperand::createImm(280)); break; case CVT_imm_95_128: Inst.addOperand(MCOperand::createImm(128)); break; case CVT_imm_95_129: Inst.addOperand(MCOperand::createImm(129)); break; case CVT_imm_95_130: Inst.addOperand(MCOperand::createImm(130)); break; case CVT_imm_95_131: Inst.addOperand(MCOperand::createImm(131)); break; case CVT_imm_95_132: Inst.addOperand(MCOperand::createImm(132)); break; case CVT_imm_95_133: Inst.addOperand(MCOperand::createImm(133)); break; case CVT_imm_95_134: Inst.addOperand(MCOperand::createImm(134)); break; case CVT_imm_95_135: Inst.addOperand(MCOperand::createImm(135)); break; case CVT_imm_95_28: Inst.addOperand(MCOperand::createImm(28)); break; case CVT_imm_95_19: Inst.addOperand(MCOperand::createImm(19)); break; case CVT_imm_95_537: Inst.addOperand(MCOperand::createImm(537)); break; case CVT_imm_95_539: Inst.addOperand(MCOperand::createImm(539)); break; case CVT_imm_95_541: Inst.addOperand(MCOperand::createImm(541)); break; case CVT_imm_95_543: Inst.addOperand(MCOperand::createImm(543)); break; case CVT_imm_95_536: Inst.addOperand(MCOperand::createImm(536)); break; case CVT_imm_95_538: Inst.addOperand(MCOperand::createImm(538)); break; case CVT_imm_95_540: Inst.addOperand(MCOperand::createImm(540)); break; case CVT_imm_95_542: Inst.addOperand(MCOperand::createImm(542)); break; case CVT_imm_95_1018: Inst.addOperand(MCOperand::createImm(1018)); break; case CVT_imm_95_981: Inst.addOperand(MCOperand::createImm(981)); break; case CVT_imm_95_22: Inst.addOperand(MCOperand::createImm(22)); break; case CVT_imm_95_17: Inst.addOperand(MCOperand::createImm(17)); break; case CVT_imm_95_18: Inst.addOperand(MCOperand::createImm(18)); break; case CVT_imm_95_980: Inst.addOperand(MCOperand::createImm(980)); break; case CVT_imm_95_529: Inst.addOperand(MCOperand::createImm(529)); break; case CVT_imm_95_531: Inst.addOperand(MCOperand::createImm(531)); break; case CVT_imm_95_533: Inst.addOperand(MCOperand::createImm(533)); break; case CVT_imm_95_535: Inst.addOperand(MCOperand::createImm(535)); break; case CVT_imm_95_528: Inst.addOperand(MCOperand::createImm(528)); break; case CVT_imm_95_530: Inst.addOperand(MCOperand::createImm(530)); break; case CVT_imm_95_532: Inst.addOperand(MCOperand::createImm(532)); break; case CVT_imm_95_534: Inst.addOperand(MCOperand::createImm(534)); break; case CVT_imm_95_1019: Inst.addOperand(MCOperand::createImm(1019)); break; case CVT_95_addCRBitMaskOperands: static_cast(*Operands[*(p + 1)]).addCRBitMaskOperands(Inst, 1); break; case CVT_imm_95_48: Inst.addOperand(MCOperand::createImm(48)); break; case CVT_imm_95_287: Inst.addOperand(MCOperand::createImm(287)); break; case CVT_imm_95_5: Inst.addOperand(MCOperand::createImm(5)); break; case CVT_imm_95_25: Inst.addOperand(MCOperand::createImm(25)); break; case CVT_imm_95_512: Inst.addOperand(MCOperand::createImm(512)); break; case CVT_imm_95_272: Inst.addOperand(MCOperand::createImm(272)); break; case CVT_imm_95_273: Inst.addOperand(MCOperand::createImm(273)); break; case CVT_imm_95_274: Inst.addOperand(MCOperand::createImm(274)); break; case CVT_imm_95_275: Inst.addOperand(MCOperand::createImm(275)); break; case CVT_imm_95_260: Inst.addOperand(MCOperand::createImm(260)); break; case CVT_imm_95_261: Inst.addOperand(MCOperand::createImm(261)); break; case CVT_imm_95_262: Inst.addOperand(MCOperand::createImm(262)); break; case CVT_imm_95_263: Inst.addOperand(MCOperand::createImm(263)); break; case CVT_imm_95_26: Inst.addOperand(MCOperand::createImm(26)); break; case CVT_imm_95_27: Inst.addOperand(MCOperand::createImm(27)); break; case CVT_imm_95_990: Inst.addOperand(MCOperand::createImm(990)); break; case CVT_imm_95_991: Inst.addOperand(MCOperand::createImm(991)); break; case CVT_imm_95_268: Inst.addOperand(MCOperand::createImm(268)); break; case CVT_imm_95_988: Inst.addOperand(MCOperand::createImm(988)); break; case CVT_imm_95_989: Inst.addOperand(MCOperand::createImm(989)); break; case CVT_imm_95_269: Inst.addOperand(MCOperand::createImm(269)); break; case CVT_imm_95_986: Inst.addOperand(MCOperand::createImm(986)); break; case CVT_imm_95_255: Inst.addOperand(MCOperand::createImm(255)); break; case CVT_imm_95_284: Inst.addOperand(MCOperand::createImm(284)); break; case CVT_imm_95_285: Inst.addOperand(MCOperand::createImm(285)); break; case CVT_95_addRegQFRCOperands: static_cast(*Operands[*(p + 1)]).addRegQFRCOperands(Inst, 1); break; case CVT_95_addRegQSRCOperands: static_cast(*Operands[*(p + 1)]).addRegQSRCOperands(Inst, 1); break; case CVT_95_addRegQBRCOperands: static_cast(*Operands[*(p + 1)]).addRegQBRCOperands(Inst, 1); break; case CVT_imm_95_9: Inst.addOperand(MCOperand::createImm(9)); break; case CVT_imm_95_13: Inst.addOperand(MCOperand::createImm(13)); break; case CVT_imm_95_20: Inst.addOperand(MCOperand::createImm(20)); break; case CVT_imm_95_16: Inst.addOperand(MCOperand::createImm(16)); break; case CVT_imm_95_24: Inst.addOperand(MCOperand::createImm(24)); break; case CVT_imm_95_3: Inst.addOperand(MCOperand::createImm(3)); break; } } } void PPCAsmParser:: convertToMapAndConstraints(unsigned Kind, const OperandVector &Operands) { assert(Kind < CVT_NUM_SIGNATURES && "Invalid signature!"); unsigned NumMCOperands = 0; const uint8_t *Converter = ConversionTable[Kind]; for (const uint8_t *p = Converter; *p; p+= 2) { switch (*p) { default: llvm_unreachable("invalid conversion entry!"); case CVT_Reg: Operands[*(p + 1)]->setMCOperandNum(NumMCOperands); Operands[*(p + 1)]->setConstraint("r"); ++NumMCOperands; break; case CVT_Tied: ++NumMCOperands; break; case CVT_95_addRegG8RCOperands: Operands[*(p + 1)]->setMCOperandNum(NumMCOperands); Operands[*(p + 1)]->setConstraint("m"); NumMCOperands += 1; break; case CVT_95_addTLSRegOperands: Operands[*(p + 1)]->setMCOperandNum(NumMCOperands); Operands[*(p + 1)]->setConstraint("m"); NumMCOperands += 1; break; case CVT_95_addRegGPRCOperands: Operands[*(p + 1)]->setMCOperandNum(NumMCOperands); Operands[*(p + 1)]->setConstraint("m"); NumMCOperands += 1; break; case CVT_95_addRegGPRCNoR0Operands: Operands[*(p + 1)]->setMCOperandNum(NumMCOperands); Operands[*(p + 1)]->setConstraint("m"); NumMCOperands += 1; break; case CVT_95_addS16ImmOperands: Operands[*(p + 1)]->setMCOperandNum(NumMCOperands); Operands[*(p + 1)]->setConstraint("m"); NumMCOperands += 1; break; case CVT_95_addU16ImmOperands: Operands[*(p + 1)]->setMCOperandNum(NumMCOperands); Operands[*(p + 1)]->setConstraint("m"); NumMCOperands += 1; break; case CVT_95_addBranchTargetOperands: Operands[*(p + 1)]->setMCOperandNum(NumMCOperands); Operands[*(p + 1)]->setConstraint("m"); NumMCOperands += 1; break; case CVT_95_addImmOperands: Operands[*(p + 1)]->setMCOperandNum(NumMCOperands); Operands[*(p + 1)]->setConstraint("m"); NumMCOperands += 1; break; case CVT_95_addRegCRBITRCOperands: Operands[*(p + 1)]->setMCOperandNum(NumMCOperands); Operands[*(p + 1)]->setConstraint("m"); NumMCOperands += 1; break; case CVT_imm_95_0: Operands[*(p + 1)]->setMCOperandNum(NumMCOperands); Operands[*(p + 1)]->setConstraint(""); ++NumMCOperands; break; case CVT_imm_95_8: Operands[*(p + 1)]->setMCOperandNum(NumMCOperands); Operands[*(p + 1)]->setConstraint(""); ++NumMCOperands; break; case CVT_imm_95_2: Operands[*(p + 1)]->setMCOperandNum(NumMCOperands); Operands[*(p + 1)]->setConstraint(""); ++NumMCOperands; break; case CVT_imm_95_10: Operands[*(p + 1)]->setMCOperandNum(NumMCOperands); Operands[*(p + 1)]->setConstraint(""); ++NumMCOperands; break; case CVT_imm_95_76: Operands[*(p + 1)]->setMCOperandNum(NumMCOperands); Operands[*(p + 1)]->setConstraint(""); ++NumMCOperands; break; case CVT_regCR0: Operands[*(p + 1)]->setMCOperandNum(NumMCOperands); Operands[*(p + 1)]->setConstraint("m"); ++NumMCOperands; break; case CVT_95_addRegCRRCOperands: Operands[*(p + 1)]->setMCOperandNum(NumMCOperands); Operands[*(p + 1)]->setConstraint("m"); NumMCOperands += 1; break; case CVT_imm_95_79: Operands[*(p + 1)]->setMCOperandNum(NumMCOperands); Operands[*(p + 1)]->setConstraint(""); ++NumMCOperands; break; case CVT_imm_95_78: Operands[*(p + 1)]->setMCOperandNum(NumMCOperands); Operands[*(p + 1)]->setConstraint(""); ++NumMCOperands; break; case CVT_imm_95_4: Operands[*(p + 1)]->setMCOperandNum(NumMCOperands); Operands[*(p + 1)]->setConstraint(""); ++NumMCOperands; break; case CVT_imm_95_7: Operands[*(p + 1)]->setMCOperandNum(NumMCOperands); Operands[*(p + 1)]->setConstraint(""); ++NumMCOperands; break; case CVT_imm_95_6: Operands[*(p + 1)]->setMCOperandNum(NumMCOperands); Operands[*(p + 1)]->setConstraint(""); ++NumMCOperands; break; case CVT_imm_95_44: Operands[*(p + 1)]->setMCOperandNum(NumMCOperands); Operands[*(p + 1)]->setConstraint(""); ++NumMCOperands; break; case CVT_imm_95_47: Operands[*(p + 1)]->setMCOperandNum(NumMCOperands); Operands[*(p + 1)]->setConstraint(""); ++NumMCOperands; break; case CVT_imm_95_46: Operands[*(p + 1)]->setMCOperandNum(NumMCOperands); Operands[*(p + 1)]->setConstraint(""); ++NumMCOperands; break; case CVT_imm_95_36: Operands[*(p + 1)]->setMCOperandNum(NumMCOperands); Operands[*(p + 1)]->setConstraint(""); ++NumMCOperands; break; case CVT_imm_95_39: Operands[*(p + 1)]->setMCOperandNum(NumMCOperands); Operands[*(p + 1)]->setConstraint(""); ++NumMCOperands; break; case CVT_imm_95_38: Operands[*(p + 1)]->setMCOperandNum(NumMCOperands); Operands[*(p + 1)]->setConstraint(""); ++NumMCOperands; break; case CVT_imm_95_12: Operands[*(p + 1)]->setMCOperandNum(NumMCOperands); Operands[*(p + 1)]->setConstraint(""); ++NumMCOperands; break; case CVT_imm_95_15: Operands[*(p + 1)]->setMCOperandNum(NumMCOperands); Operands[*(p + 1)]->setConstraint(""); ++NumMCOperands; break; case CVT_imm_95_14: Operands[*(p + 1)]->setMCOperandNum(NumMCOperands); Operands[*(p + 1)]->setConstraint(""); ++NumMCOperands; break; case CVT_imm_95_68: Operands[*(p + 1)]->setMCOperandNum(NumMCOperands); Operands[*(p + 1)]->setConstraint(""); ++NumMCOperands; break; case CVT_imm_95_71: Operands[*(p + 1)]->setMCOperandNum(NumMCOperands); Operands[*(p + 1)]->setConstraint(""); ++NumMCOperands; break; case CVT_imm_95_70: Operands[*(p + 1)]->setMCOperandNum(NumMCOperands); Operands[*(p + 1)]->setConstraint(""); ++NumMCOperands; break; case CVT_imm_95_100: Operands[*(p + 1)]->setMCOperandNum(NumMCOperands); Operands[*(p + 1)]->setConstraint(""); ++NumMCOperands; break; case CVT_imm_95_103: Operands[*(p + 1)]->setMCOperandNum(NumMCOperands); Operands[*(p + 1)]->setConstraint(""); ++NumMCOperands; break; case CVT_imm_95_102: Operands[*(p + 1)]->setMCOperandNum(NumMCOperands); Operands[*(p + 1)]->setConstraint(""); ++NumMCOperands; break; case CVT_imm_95_108: Operands[*(p + 1)]->setMCOperandNum(NumMCOperands); Operands[*(p + 1)]->setConstraint(""); ++NumMCOperands; break; case CVT_imm_95_111: Operands[*(p + 1)]->setMCOperandNum(NumMCOperands); Operands[*(p + 1)]->setConstraint(""); ++NumMCOperands; break; case CVT_imm_95_110: Operands[*(p + 1)]->setMCOperandNum(NumMCOperands); Operands[*(p + 1)]->setConstraint(""); ++NumMCOperands; break; case CVT_imm_95_31: Operands[*(p + 1)]->setMCOperandNum(NumMCOperands); Operands[*(p + 1)]->setConstraint(""); ++NumMCOperands; break; case CVT_95_addRegGxRCNoR0Operands: Operands[*(p + 1)]->setMCOperandNum(NumMCOperands); Operands[*(p + 1)]->setConstraint("m"); NumMCOperands += 1; break; case CVT_95_addRegGxRCOperands: Operands[*(p + 1)]->setMCOperandNum(NumMCOperands); Operands[*(p + 1)]->setConstraint("m"); NumMCOperands += 1; break; case CVT_regR0: Operands[*(p + 1)]->setMCOperandNum(NumMCOperands); Operands[*(p + 1)]->setConstraint("m"); ++NumMCOperands; break; case CVT_95_addRegF4RCOperands: Operands[*(p + 1)]->setMCOperandNum(NumMCOperands); Operands[*(p + 1)]->setConstraint("m"); NumMCOperands += 1; break; case CVT_95_addRegF8RCOperands: Operands[*(p + 1)]->setMCOperandNum(NumMCOperands); Operands[*(p + 1)]->setConstraint("m"); NumMCOperands += 1; break; case CVT_95_addRegVRRCOperands: Operands[*(p + 1)]->setMCOperandNum(NumMCOperands); Operands[*(p + 1)]->setConstraint("m"); NumMCOperands += 1; break; case CVT_imm_95_1: Operands[*(p + 1)]->setMCOperandNum(NumMCOperands); Operands[*(p + 1)]->setConstraint(""); ++NumMCOperands; break; case CVT_95_addRegVSFRCOperands: Operands[*(p + 1)]->setMCOperandNum(NumMCOperands); Operands[*(p + 1)]->setConstraint("m"); NumMCOperands += 1; break; case CVT_95_addRegVSSRCOperands: Operands[*(p + 1)]->setMCOperandNum(NumMCOperands); Operands[*(p + 1)]->setConstraint("m"); NumMCOperands += 1; break; case CVT_95_addRegVSRCOperands: Operands[*(p + 1)]->setMCOperandNum(NumMCOperands); Operands[*(p + 1)]->setConstraint("m"); NumMCOperands += 1; break; case CVT_imm_95_29: Operands[*(p + 1)]->setMCOperandNum(NumMCOperands); Operands[*(p + 1)]->setConstraint(""); ++NumMCOperands; break; case CVT_imm_95_280: Operands[*(p + 1)]->setMCOperandNum(NumMCOperands); Operands[*(p + 1)]->setConstraint(""); ++NumMCOperands; break; case CVT_imm_95_128: Operands[*(p + 1)]->setMCOperandNum(NumMCOperands); Operands[*(p + 1)]->setConstraint(""); ++NumMCOperands; break; case CVT_imm_95_129: Operands[*(p + 1)]->setMCOperandNum(NumMCOperands); Operands[*(p + 1)]->setConstraint(""); ++NumMCOperands; break; case CVT_imm_95_130: Operands[*(p + 1)]->setMCOperandNum(NumMCOperands); Operands[*(p + 1)]->setConstraint(""); ++NumMCOperands; break; case CVT_imm_95_131: Operands[*(p + 1)]->setMCOperandNum(NumMCOperands); Operands[*(p + 1)]->setConstraint(""); ++NumMCOperands; break; case CVT_imm_95_132: Operands[*(p + 1)]->setMCOperandNum(NumMCOperands); Operands[*(p + 1)]->setConstraint(""); ++NumMCOperands; break; case CVT_imm_95_133: Operands[*(p + 1)]->setMCOperandNum(NumMCOperands); Operands[*(p + 1)]->setConstraint(""); ++NumMCOperands; break; case CVT_imm_95_134: Operands[*(p + 1)]->setMCOperandNum(NumMCOperands); Operands[*(p + 1)]->setConstraint(""); ++NumMCOperands; break; case CVT_imm_95_135: Operands[*(p + 1)]->setMCOperandNum(NumMCOperands); Operands[*(p + 1)]->setConstraint(""); ++NumMCOperands; break; case CVT_imm_95_28: Operands[*(p + 1)]->setMCOperandNum(NumMCOperands); Operands[*(p + 1)]->setConstraint(""); ++NumMCOperands; break; case CVT_imm_95_19: Operands[*(p + 1)]->setMCOperandNum(NumMCOperands); Operands[*(p + 1)]->setConstraint(""); ++NumMCOperands; break; case CVT_imm_95_537: Operands[*(p + 1)]->setMCOperandNum(NumMCOperands); Operands[*(p + 1)]->setConstraint(""); ++NumMCOperands; break; case CVT_imm_95_539: Operands[*(p + 1)]->setMCOperandNum(NumMCOperands); Operands[*(p + 1)]->setConstraint(""); ++NumMCOperands; break; case CVT_imm_95_541: Operands[*(p + 1)]->setMCOperandNum(NumMCOperands); Operands[*(p + 1)]->setConstraint(""); ++NumMCOperands; break; case CVT_imm_95_543: Operands[*(p + 1)]->setMCOperandNum(NumMCOperands); Operands[*(p + 1)]->setConstraint(""); ++NumMCOperands; break; case CVT_imm_95_536: Operands[*(p + 1)]->setMCOperandNum(NumMCOperands); Operands[*(p + 1)]->setConstraint(""); ++NumMCOperands; break; case CVT_imm_95_538: Operands[*(p + 1)]->setMCOperandNum(NumMCOperands); Operands[*(p + 1)]->setConstraint(""); ++NumMCOperands; break; case CVT_imm_95_540: Operands[*(p + 1)]->setMCOperandNum(NumMCOperands); Operands[*(p + 1)]->setConstraint(""); ++NumMCOperands; break; case CVT_imm_95_542: Operands[*(p + 1)]->setMCOperandNum(NumMCOperands); Operands[*(p + 1)]->setConstraint(""); ++NumMCOperands; break; case CVT_imm_95_1018: Operands[*(p + 1)]->setMCOperandNum(NumMCOperands); Operands[*(p + 1)]->setConstraint(""); ++NumMCOperands; break; case CVT_imm_95_981: Operands[*(p + 1)]->setMCOperandNum(NumMCOperands); Operands[*(p + 1)]->setConstraint(""); ++NumMCOperands; break; case CVT_imm_95_22: Operands[*(p + 1)]->setMCOperandNum(NumMCOperands); Operands[*(p + 1)]->setConstraint(""); ++NumMCOperands; break; case CVT_imm_95_17: Operands[*(p + 1)]->setMCOperandNum(NumMCOperands); Operands[*(p + 1)]->setConstraint(""); ++NumMCOperands; break; case CVT_imm_95_18: Operands[*(p + 1)]->setMCOperandNum(NumMCOperands); Operands[*(p + 1)]->setConstraint(""); ++NumMCOperands; break; case CVT_imm_95_980: Operands[*(p + 1)]->setMCOperandNum(NumMCOperands); Operands[*(p + 1)]->setConstraint(""); ++NumMCOperands; break; case CVT_imm_95_529: Operands[*(p + 1)]->setMCOperandNum(NumMCOperands); Operands[*(p + 1)]->setConstraint(""); ++NumMCOperands; break; case CVT_imm_95_531: Operands[*(p + 1)]->setMCOperandNum(NumMCOperands); Operands[*(p + 1)]->setConstraint(""); ++NumMCOperands; break; case CVT_imm_95_533: Operands[*(p + 1)]->setMCOperandNum(NumMCOperands); Operands[*(p + 1)]->setConstraint(""); ++NumMCOperands; break; case CVT_imm_95_535: Operands[*(p + 1)]->setMCOperandNum(NumMCOperands); Operands[*(p + 1)]->setConstraint(""); ++NumMCOperands; break; case CVT_imm_95_528: Operands[*(p + 1)]->setMCOperandNum(NumMCOperands); Operands[*(p + 1)]->setConstraint(""); ++NumMCOperands; break; case CVT_imm_95_530: Operands[*(p + 1)]->setMCOperandNum(NumMCOperands); Operands[*(p + 1)]->setConstraint(""); ++NumMCOperands; break; case CVT_imm_95_532: Operands[*(p + 1)]->setMCOperandNum(NumMCOperands); Operands[*(p + 1)]->setConstraint(""); ++NumMCOperands; break; case CVT_imm_95_534: Operands[*(p + 1)]->setMCOperandNum(NumMCOperands); Operands[*(p + 1)]->setConstraint(""); ++NumMCOperands; break; case CVT_imm_95_1019: Operands[*(p + 1)]->setMCOperandNum(NumMCOperands); Operands[*(p + 1)]->setConstraint(""); ++NumMCOperands; break; case CVT_95_addCRBitMaskOperands: Operands[*(p + 1)]->setMCOperandNum(NumMCOperands); Operands[*(p + 1)]->setConstraint("m"); NumMCOperands += 1; break; case CVT_imm_95_48: Operands[*(p + 1)]->setMCOperandNum(NumMCOperands); Operands[*(p + 1)]->setConstraint(""); ++NumMCOperands; break; case CVT_imm_95_287: Operands[*(p + 1)]->setMCOperandNum(NumMCOperands); Operands[*(p + 1)]->setConstraint(""); ++NumMCOperands; break; case CVT_imm_95_5: Operands[*(p + 1)]->setMCOperandNum(NumMCOperands); Operands[*(p + 1)]->setConstraint(""); ++NumMCOperands; break; case CVT_imm_95_25: Operands[*(p + 1)]->setMCOperandNum(NumMCOperands); Operands[*(p + 1)]->setConstraint(""); ++NumMCOperands; break; case CVT_imm_95_512: Operands[*(p + 1)]->setMCOperandNum(NumMCOperands); Operands[*(p + 1)]->setConstraint(""); ++NumMCOperands; break; case CVT_imm_95_272: Operands[*(p + 1)]->setMCOperandNum(NumMCOperands); Operands[*(p + 1)]->setConstraint(""); ++NumMCOperands; break; case CVT_imm_95_273: Operands[*(p + 1)]->setMCOperandNum(NumMCOperands); Operands[*(p + 1)]->setConstraint(""); ++NumMCOperands; break; case CVT_imm_95_274: Operands[*(p + 1)]->setMCOperandNum(NumMCOperands); Operands[*(p + 1)]->setConstraint(""); ++NumMCOperands; break; case CVT_imm_95_275: Operands[*(p + 1)]->setMCOperandNum(NumMCOperands); Operands[*(p + 1)]->setConstraint(""); ++NumMCOperands; break; case CVT_imm_95_260: Operands[*(p + 1)]->setMCOperandNum(NumMCOperands); Operands[*(p + 1)]->setConstraint(""); ++NumMCOperands; break; case CVT_imm_95_261: Operands[*(p + 1)]->setMCOperandNum(NumMCOperands); Operands[*(p + 1)]->setConstraint(""); ++NumMCOperands; break; case CVT_imm_95_262: Operands[*(p + 1)]->setMCOperandNum(NumMCOperands); Operands[*(p + 1)]->setConstraint(""); ++NumMCOperands; break; case CVT_imm_95_263: Operands[*(p + 1)]->setMCOperandNum(NumMCOperands); Operands[*(p + 1)]->setConstraint(""); ++NumMCOperands; break; case CVT_imm_95_26: Operands[*(p + 1)]->setMCOperandNum(NumMCOperands); Operands[*(p + 1)]->setConstraint(""); ++NumMCOperands; break; case CVT_imm_95_27: Operands[*(p + 1)]->setMCOperandNum(NumMCOperands); Operands[*(p + 1)]->setConstraint(""); ++NumMCOperands; break; case CVT_imm_95_990: Operands[*(p + 1)]->setMCOperandNum(NumMCOperands); Operands[*(p + 1)]->setConstraint(""); ++NumMCOperands; break; case CVT_imm_95_991: Operands[*(p + 1)]->setMCOperandNum(NumMCOperands); Operands[*(p + 1)]->setConstraint(""); ++NumMCOperands; break; case CVT_imm_95_268: Operands[*(p + 1)]->setMCOperandNum(NumMCOperands); Operands[*(p + 1)]->setConstraint(""); ++NumMCOperands; break; case CVT_imm_95_988: Operands[*(p + 1)]->setMCOperandNum(NumMCOperands); Operands[*(p + 1)]->setConstraint(""); ++NumMCOperands; break; case CVT_imm_95_989: Operands[*(p + 1)]->setMCOperandNum(NumMCOperands); Operands[*(p + 1)]->setConstraint(""); ++NumMCOperands; break; case CVT_imm_95_269: Operands[*(p + 1)]->setMCOperandNum(NumMCOperands); Operands[*(p + 1)]->setConstraint(""); ++NumMCOperands; break; case CVT_imm_95_986: Operands[*(p + 1)]->setMCOperandNum(NumMCOperands); Operands[*(p + 1)]->setConstraint(""); ++NumMCOperands; break; case CVT_imm_95_255: Operands[*(p + 1)]->setMCOperandNum(NumMCOperands); Operands[*(p + 1)]->setConstraint(""); ++NumMCOperands; break; case CVT_imm_95_284: Operands[*(p + 1)]->setMCOperandNum(NumMCOperands); Operands[*(p + 1)]->setConstraint(""); ++NumMCOperands; break; case CVT_imm_95_285: Operands[*(p + 1)]->setMCOperandNum(NumMCOperands); Operands[*(p + 1)]->setConstraint(""); ++NumMCOperands; break; case CVT_95_addRegQFRCOperands: Operands[*(p + 1)]->setMCOperandNum(NumMCOperands); Operands[*(p + 1)]->setConstraint("m"); NumMCOperands += 1; break; case CVT_95_addRegQSRCOperands: Operands[*(p + 1)]->setMCOperandNum(NumMCOperands); Operands[*(p + 1)]->setConstraint("m"); NumMCOperands += 1; break; case CVT_95_addRegQBRCOperands: Operands[*(p + 1)]->setMCOperandNum(NumMCOperands); Operands[*(p + 1)]->setConstraint("m"); NumMCOperands += 1; break; case CVT_imm_95_9: Operands[*(p + 1)]->setMCOperandNum(NumMCOperands); Operands[*(p + 1)]->setConstraint(""); ++NumMCOperands; break; case CVT_imm_95_13: Operands[*(p + 1)]->setMCOperandNum(NumMCOperands); Operands[*(p + 1)]->setConstraint(""); ++NumMCOperands; break; case CVT_imm_95_20: Operands[*(p + 1)]->setMCOperandNum(NumMCOperands); Operands[*(p + 1)]->setConstraint(""); ++NumMCOperands; break; case CVT_imm_95_16: Operands[*(p + 1)]->setMCOperandNum(NumMCOperands); Operands[*(p + 1)]->setConstraint(""); ++NumMCOperands; break; case CVT_imm_95_24: Operands[*(p + 1)]->setMCOperandNum(NumMCOperands); Operands[*(p + 1)]->setConstraint(""); ++NumMCOperands; break; case CVT_imm_95_3: Operands[*(p + 1)]->setMCOperandNum(NumMCOperands); Operands[*(p + 1)]->setConstraint(""); ++NumMCOperands; break; } } } namespace { /// MatchClassKind - The kinds of classes which participate in /// instruction matching. enum MatchClassKind { InvalidMatchClass = 0, MCK__DOT_, // '.' MCK_0, // '0' MCK_1, // '1' MCK_2, // '2' MCK_3, // '3' MCK_4, // '4' MCK_5, // '5' MCK_6, // '6' MCK_7, // '7' MCK_CARRYRC, // register class 'CARRYRC' MCK_CRRC0, // register class 'CRRC0' MCK_CTRRC, // register class 'CTRRC' MCK_CTRRC8, // register class 'CTRRC8' MCK_VRSAVERC, // register class 'VRSAVERC' MCK_CRRC, // register class 'CRRC' MCK_CRBITRC, // register class 'CRBITRC' MCK_F4RC, // register class 'F4RC,F8RC' MCK_QSRC, // register class 'QSRC,QBRC,QFRC' MCK_VFRC, // register class 'VFRC' MCK_VRRC, // register class 'VRRC' MCK_VSHRC, // register class 'VSHRC' MCK_VSLRC, // register class 'VSLRC' MCK_Reg5, // derived register class MCK_Reg2, // derived register class MCK_G8RC, // register class 'G8RC' MCK_G8RC_NOX0, // register class 'G8RC_NOX0' MCK_GPRC, // register class 'GPRC' MCK_GPRC_NOR0, // register class 'GPRC_NOR0' MCK_VSRC, // register class 'VSRC' MCK_VSSRC, // register class 'VSSRC,VSFRC' MCK_Imm, // user defined class 'ImmAsmOperand' MCK_CRBitMask, // user defined class 'PPCCRBitMaskOperand' MCK_CondBr, // user defined class 'PPCCondBrAsmOperand' MCK_DirectBr, // user defined class 'PPCDirectBrAsmOperand' MCK_DispRI, // user defined class 'PPCDispRIOperand' MCK_DispRIX, // user defined class 'PPCDispRIXOperand' MCK_DispSPE2, // user defined class 'PPCDispSPE2Operand' MCK_DispSPE4, // user defined class 'PPCDispSPE4Operand' MCK_DispSPE8, // user defined class 'PPCDispSPE8Operand' MCK_RegCRBITRC, // user defined class 'PPCRegCRBITRCAsmOperand' MCK_RegCRRC, // user defined class 'PPCRegCRRCAsmOperand' MCK_RegF4RC, // user defined class 'PPCRegF4RCAsmOperand' MCK_RegF8RC, // user defined class 'PPCRegF8RCAsmOperand' MCK_RegG8RC, // user defined class 'PPCRegG8RCAsmOperand' MCK_RegG8RCNoX0, // user defined class 'PPCRegG8RCNoX0AsmOperand' MCK_RegGPRC, // user defined class 'PPCRegGPRCAsmOperand' MCK_RegGPRCNoR0, // user defined class 'PPCRegGPRCNoR0AsmOperand' MCK_RegGxRCNoR0, // user defined class 'PPCRegGxRCNoR0Operand' MCK_RegGxRC, // user defined class 'PPCRegGxRCOperand' MCK_RegQBRC, // user defined class 'PPCRegQBRCAsmOperand' MCK_RegQFRC, // user defined class 'PPCRegQFRCAsmOperand' MCK_RegQSRC, // user defined class 'PPCRegQSRCAsmOperand' MCK_RegVRRC, // user defined class 'PPCRegVRRCAsmOperand' MCK_RegVSFRC, // user defined class 'PPCRegVSFRCAsmOperand' MCK_RegVSRC, // user defined class 'PPCRegVSRCAsmOperand' MCK_RegVSSRC, // user defined class 'PPCRegVSSRCAsmOperand' MCK_S16Imm, // user defined class 'PPCS16ImmAsmOperand' MCK_S17Imm, // user defined class 'PPCS17ImmAsmOperand' MCK_S5Imm, // user defined class 'PPCS5ImmAsmOperand' MCK_TLSReg, // user defined class 'PPCTLSRegOperand' MCK_U10Imm, // user defined class 'PPCU10ImmAsmOperand' MCK_U12Imm, // user defined class 'PPCU12ImmAsmOperand' MCK_U16Imm, // user defined class 'PPCU16ImmAsmOperand' MCK_U1Imm, // user defined class 'PPCU1ImmAsmOperand' MCK_U2Imm, // user defined class 'PPCU2ImmAsmOperand' MCK_U3Imm, // user defined class 'PPCU3ImmAsmOperand' MCK_U4Imm, // user defined class 'PPCU4ImmAsmOperand' MCK_U5Imm, // user defined class 'PPCU5ImmAsmOperand' MCK_U6Imm, // user defined class 'PPCU6ImmAsmOperand' NumMatchClassKinds }; } static MatchClassKind matchTokenString(StringRef Name) { switch (Name.size()) { default: break; case 1: // 9 strings to match. switch (Name[0]) { default: break; case '.': // 1 string to match. return MCK__DOT_; // "." case '0': // 1 string to match. return MCK_0; // "0" case '1': // 1 string to match. return MCK_1; // "1" case '2': // 1 string to match. return MCK_2; // "2" case '3': // 1 string to match. return MCK_3; // "3" case '4': // 1 string to match. return MCK_4; // "4" case '5': // 1 string to match. return MCK_5; // "5" case '6': // 1 string to match. return MCK_6; // "6" case '7': // 1 string to match. return MCK_7; // "7" } break; } return InvalidMatchClass; } /// isSubclass - Compute whether \p A is a subclass of \p B. static bool isSubclass(MatchClassKind A, MatchClassKind B) { if (A == B) return true; switch (A) { default: return false; case MCK_CRRC0: return B == MCK_CRRC; case MCK_F4RC: return B == MCK_VSSRC; case MCK_VFRC: return B == MCK_VSSRC; case MCK_VSHRC: return B == MCK_VSRC; case MCK_VSLRC: return B == MCK_VSRC; case MCK_Reg5: switch (B) { default: return false; case MCK_G8RC: return true; case MCK_G8RC_NOX0: return true; } case MCK_Reg2: switch (B) { default: return false; case MCK_GPRC: return true; case MCK_GPRC_NOR0: return true; } } } static unsigned validateOperandClass(MCParsedAsmOperand &GOp, MatchClassKind Kind) { PPCOperand &Operand = (PPCOperand&)GOp; if (Kind == InvalidMatchClass) return MCTargetAsmParser::Match_InvalidOperand; if (Operand.isToken()) return isSubclass(matchTokenString(Operand.getToken()), Kind) ? MCTargetAsmParser::Match_Success : MCTargetAsmParser::Match_InvalidOperand; // 'Imm' class if (Kind == MCK_Imm) { if (Operand.isImm()) return MCTargetAsmParser::Match_Success; } // 'CRBitMask' class if (Kind == MCK_CRBitMask) { if (Operand.isCRBitMask()) return MCTargetAsmParser::Match_Success; } // 'CondBr' class if (Kind == MCK_CondBr) { if (Operand.isCondBr()) return MCTargetAsmParser::Match_Success; } // 'DirectBr' class if (Kind == MCK_DirectBr) { if (Operand.isDirectBr()) return MCTargetAsmParser::Match_Success; } // 'DispRI' class if (Kind == MCK_DispRI) { if (Operand.isS16Imm()) return MCTargetAsmParser::Match_Success; } // 'DispRIX' class if (Kind == MCK_DispRIX) { if (Operand.isS16ImmX4()) return MCTargetAsmParser::Match_Success; } // 'DispSPE2' class if (Kind == MCK_DispSPE2) { if (Operand.isU6ImmX2()) return MCTargetAsmParser::Match_Success; } // 'DispSPE4' class if (Kind == MCK_DispSPE4) { if (Operand.isU7ImmX4()) return MCTargetAsmParser::Match_Success; } // 'DispSPE8' class if (Kind == MCK_DispSPE8) { if (Operand.isU8ImmX8()) return MCTargetAsmParser::Match_Success; } // 'RegCRBITRC' class if (Kind == MCK_RegCRBITRC) { if (Operand.isCRBitNumber()) return MCTargetAsmParser::Match_Success; } // 'RegCRRC' class if (Kind == MCK_RegCRRC) { if (Operand.isCCRegNumber()) return MCTargetAsmParser::Match_Success; } // 'RegF4RC' class if (Kind == MCK_RegF4RC) { if (Operand.isRegNumber()) return MCTargetAsmParser::Match_Success; } // 'RegF8RC' class if (Kind == MCK_RegF8RC) { if (Operand.isRegNumber()) return MCTargetAsmParser::Match_Success; } // 'RegG8RC' class if (Kind == MCK_RegG8RC) { if (Operand.isRegNumber()) return MCTargetAsmParser::Match_Success; } // 'RegG8RCNoX0' class if (Kind == MCK_RegG8RCNoX0) { if (Operand.isRegNumber()) return MCTargetAsmParser::Match_Success; } // 'RegGPRC' class if (Kind == MCK_RegGPRC) { if (Operand.isRegNumber()) return MCTargetAsmParser::Match_Success; } // 'RegGPRCNoR0' class if (Kind == MCK_RegGPRCNoR0) { if (Operand.isRegNumber()) return MCTargetAsmParser::Match_Success; } // 'RegGxRCNoR0' class if (Kind == MCK_RegGxRCNoR0) { if (Operand.isRegNumber()) return MCTargetAsmParser::Match_Success; } // 'RegGxRC' class if (Kind == MCK_RegGxRC) { if (Operand.isRegNumber()) return MCTargetAsmParser::Match_Success; } // 'RegQBRC' class if (Kind == MCK_RegQBRC) { if (Operand.isRegNumber()) return MCTargetAsmParser::Match_Success; } // 'RegQFRC' class if (Kind == MCK_RegQFRC) { if (Operand.isRegNumber()) return MCTargetAsmParser::Match_Success; } // 'RegQSRC' class if (Kind == MCK_RegQSRC) { if (Operand.isRegNumber()) return MCTargetAsmParser::Match_Success; } // 'RegVRRC' class if (Kind == MCK_RegVRRC) { if (Operand.isRegNumber()) return MCTargetAsmParser::Match_Success; } // 'RegVSFRC' class if (Kind == MCK_RegVSFRC) { if (Operand.isVSRegNumber()) return MCTargetAsmParser::Match_Success; } // 'RegVSRC' class if (Kind == MCK_RegVSRC) { if (Operand.isVSRegNumber()) return MCTargetAsmParser::Match_Success; } // 'RegVSSRC' class if (Kind == MCK_RegVSSRC) { if (Operand.isVSRegNumber()) return MCTargetAsmParser::Match_Success; } // 'S16Imm' class if (Kind == MCK_S16Imm) { if (Operand.isS16Imm()) return MCTargetAsmParser::Match_Success; } // 'S17Imm' class if (Kind == MCK_S17Imm) { if (Operand.isS17Imm()) return MCTargetAsmParser::Match_Success; } // 'S5Imm' class if (Kind == MCK_S5Imm) { if (Operand.isS5Imm()) return MCTargetAsmParser::Match_Success; } // 'TLSReg' class if (Kind == MCK_TLSReg) { if (Operand.isTLSReg()) return MCTargetAsmParser::Match_Success; } // 'U10Imm' class if (Kind == MCK_U10Imm) { if (Operand.isU10Imm()) return MCTargetAsmParser::Match_Success; } // 'U12Imm' class if (Kind == MCK_U12Imm) { if (Operand.isU12Imm()) return MCTargetAsmParser::Match_Success; } // 'U16Imm' class if (Kind == MCK_U16Imm) { if (Operand.isU16Imm()) return MCTargetAsmParser::Match_Success; } // 'U1Imm' class if (Kind == MCK_U1Imm) { if (Operand.isU1Imm()) return MCTargetAsmParser::Match_Success; } // 'U2Imm' class if (Kind == MCK_U2Imm) { if (Operand.isU2Imm()) return MCTargetAsmParser::Match_Success; } // 'U3Imm' class if (Kind == MCK_U3Imm) { if (Operand.isU3Imm()) return MCTargetAsmParser::Match_Success; } // 'U4Imm' class if (Kind == MCK_U4Imm) { if (Operand.isU4Imm()) return MCTargetAsmParser::Match_Success; } // 'U5Imm' class if (Kind == MCK_U5Imm) { if (Operand.isU5Imm()) return MCTargetAsmParser::Match_Success; } // 'U6Imm' class if (Kind == MCK_U6Imm) { if (Operand.isU6Imm()) return MCTargetAsmParser::Match_Success; } if (Operand.isReg()) { MatchClassKind OpKind; switch (Operand.getReg()) { default: OpKind = InvalidMatchClass; break; case PPC::R0: OpKind = MCK_GPRC; break; case PPC::R1: OpKind = MCK_Reg2; break; case PPC::R2: OpKind = MCK_Reg2; break; case PPC::R3: OpKind = MCK_Reg2; break; case PPC::R4: OpKind = MCK_Reg2; break; case PPC::R5: OpKind = MCK_Reg2; break; case PPC::R6: OpKind = MCK_Reg2; break; case PPC::R7: OpKind = MCK_Reg2; break; case PPC::R8: OpKind = MCK_Reg2; break; case PPC::R9: OpKind = MCK_Reg2; break; case PPC::R10: OpKind = MCK_Reg2; break; case PPC::R11: OpKind = MCK_Reg2; break; case PPC::R12: OpKind = MCK_Reg2; break; case PPC::R13: OpKind = MCK_Reg2; break; case PPC::R14: OpKind = MCK_Reg2; break; case PPC::R15: OpKind = MCK_Reg2; break; case PPC::R16: OpKind = MCK_Reg2; break; case PPC::R17: OpKind = MCK_Reg2; break; case PPC::R18: OpKind = MCK_Reg2; break; case PPC::R19: OpKind = MCK_Reg2; break; case PPC::R20: OpKind = MCK_Reg2; break; case PPC::R21: OpKind = MCK_Reg2; break; case PPC::R22: OpKind = MCK_Reg2; break; case PPC::R23: OpKind = MCK_Reg2; break; case PPC::R24: OpKind = MCK_Reg2; break; case PPC::R25: OpKind = MCK_Reg2; break; case PPC::R26: OpKind = MCK_Reg2; break; case PPC::R27: OpKind = MCK_Reg2; break; case PPC::R28: OpKind = MCK_Reg2; break; case PPC::R29: OpKind = MCK_Reg2; break; case PPC::R30: OpKind = MCK_Reg2; break; case PPC::R31: OpKind = MCK_Reg2; break; case PPC::X0: OpKind = MCK_G8RC; break; case PPC::X1: OpKind = MCK_Reg5; break; case PPC::X2: OpKind = MCK_Reg5; break; case PPC::X3: OpKind = MCK_Reg5; break; case PPC::X4: OpKind = MCK_Reg5; break; case PPC::X5: OpKind = MCK_Reg5; break; case PPC::X6: OpKind = MCK_Reg5; break; case PPC::X7: OpKind = MCK_Reg5; break; case PPC::X8: OpKind = MCK_Reg5; break; case PPC::X9: OpKind = MCK_Reg5; break; case PPC::X10: OpKind = MCK_Reg5; break; case PPC::X11: OpKind = MCK_Reg5; break; case PPC::X12: OpKind = MCK_Reg5; break; case PPC::X13: OpKind = MCK_Reg5; break; case PPC::X14: OpKind = MCK_Reg5; break; case PPC::X15: OpKind = MCK_Reg5; break; case PPC::X16: OpKind = MCK_Reg5; break; case PPC::X17: OpKind = MCK_Reg5; break; case PPC::X18: OpKind = MCK_Reg5; break; case PPC::X19: OpKind = MCK_Reg5; break; case PPC::X20: OpKind = MCK_Reg5; break; case PPC::X21: OpKind = MCK_Reg5; break; case PPC::X22: OpKind = MCK_Reg5; break; case PPC::X23: OpKind = MCK_Reg5; break; case PPC::X24: OpKind = MCK_Reg5; break; case PPC::X25: OpKind = MCK_Reg5; break; case PPC::X26: OpKind = MCK_Reg5; break; case PPC::X27: OpKind = MCK_Reg5; break; case PPC::X28: OpKind = MCK_Reg5; break; case PPC::X29: OpKind = MCK_Reg5; break; case PPC::X30: OpKind = MCK_Reg5; break; case PPC::X31: OpKind = MCK_Reg5; break; case PPC::F0: OpKind = MCK_F4RC; break; case PPC::F1: OpKind = MCK_F4RC; break; case PPC::F2: OpKind = MCK_F4RC; break; case PPC::F3: OpKind = MCK_F4RC; break; case PPC::F4: OpKind = MCK_F4RC; break; case PPC::F5: OpKind = MCK_F4RC; break; case PPC::F6: OpKind = MCK_F4RC; break; case PPC::F7: OpKind = MCK_F4RC; break; case PPC::F8: OpKind = MCK_F4RC; break; case PPC::F9: OpKind = MCK_F4RC; break; case PPC::F10: OpKind = MCK_F4RC; break; case PPC::F11: OpKind = MCK_F4RC; break; case PPC::F12: OpKind = MCK_F4RC; break; case PPC::F13: OpKind = MCK_F4RC; break; case PPC::F14: OpKind = MCK_F4RC; break; case PPC::F15: OpKind = MCK_F4RC; break; case PPC::F16: OpKind = MCK_F4RC; break; case PPC::F17: OpKind = MCK_F4RC; break; case PPC::F18: OpKind = MCK_F4RC; break; case PPC::F19: OpKind = MCK_F4RC; break; case PPC::F20: OpKind = MCK_F4RC; break; case PPC::F21: OpKind = MCK_F4RC; break; case PPC::F22: OpKind = MCK_F4RC; break; case PPC::F23: OpKind = MCK_F4RC; break; case PPC::F24: OpKind = MCK_F4RC; break; case PPC::F25: OpKind = MCK_F4RC; break; case PPC::F26: OpKind = MCK_F4RC; break; case PPC::F27: OpKind = MCK_F4RC; break; case PPC::F28: OpKind = MCK_F4RC; break; case PPC::F29: OpKind = MCK_F4RC; break; case PPC::F30: OpKind = MCK_F4RC; break; case PPC::F31: OpKind = MCK_F4RC; break; case PPC::VF0: OpKind = MCK_VFRC; break; case PPC::VF1: OpKind = MCK_VFRC; break; case PPC::VF2: OpKind = MCK_VFRC; break; case PPC::VF3: OpKind = MCK_VFRC; break; case PPC::VF4: OpKind = MCK_VFRC; break; case PPC::VF5: OpKind = MCK_VFRC; break; case PPC::VF6: OpKind = MCK_VFRC; break; case PPC::VF7: OpKind = MCK_VFRC; break; case PPC::VF8: OpKind = MCK_VFRC; break; case PPC::VF9: OpKind = MCK_VFRC; break; case PPC::VF10: OpKind = MCK_VFRC; break; case PPC::VF11: OpKind = MCK_VFRC; break; case PPC::VF12: OpKind = MCK_VFRC; break; case PPC::VF13: OpKind = MCK_VFRC; break; case PPC::VF14: OpKind = MCK_VFRC; break; case PPC::VF15: OpKind = MCK_VFRC; break; case PPC::VF16: OpKind = MCK_VFRC; break; case PPC::VF17: OpKind = MCK_VFRC; break; case PPC::VF18: OpKind = MCK_VFRC; break; case PPC::VF19: OpKind = MCK_VFRC; break; case PPC::VF20: OpKind = MCK_VFRC; break; case PPC::VF21: OpKind = MCK_VFRC; break; case PPC::VF22: OpKind = MCK_VFRC; break; case PPC::VF23: OpKind = MCK_VFRC; break; case PPC::VF24: OpKind = MCK_VFRC; break; case PPC::VF25: OpKind = MCK_VFRC; break; case PPC::VF26: OpKind = MCK_VFRC; break; case PPC::VF27: OpKind = MCK_VFRC; break; case PPC::VF28: OpKind = MCK_VFRC; break; case PPC::VF29: OpKind = MCK_VFRC; break; case PPC::VF30: OpKind = MCK_VFRC; break; case PPC::VF31: OpKind = MCK_VFRC; break; case PPC::QF0: OpKind = MCK_QSRC; break; case PPC::QF1: OpKind = MCK_QSRC; break; case PPC::QF2: OpKind = MCK_QSRC; break; case PPC::QF3: OpKind = MCK_QSRC; break; case PPC::QF4: OpKind = MCK_QSRC; break; case PPC::QF5: OpKind = MCK_QSRC; break; case PPC::QF6: OpKind = MCK_QSRC; break; case PPC::QF7: OpKind = MCK_QSRC; break; case PPC::QF8: OpKind = MCK_QSRC; break; case PPC::QF9: OpKind = MCK_QSRC; break; case PPC::QF10: OpKind = MCK_QSRC; break; case PPC::QF11: OpKind = MCK_QSRC; break; case PPC::QF12: OpKind = MCK_QSRC; break; case PPC::QF13: OpKind = MCK_QSRC; break; case PPC::QF14: OpKind = MCK_QSRC; break; case PPC::QF15: OpKind = MCK_QSRC; break; case PPC::QF16: OpKind = MCK_QSRC; break; case PPC::QF17: OpKind = MCK_QSRC; break; case PPC::QF18: OpKind = MCK_QSRC; break; case PPC::QF19: OpKind = MCK_QSRC; break; case PPC::QF20: OpKind = MCK_QSRC; break; case PPC::QF21: OpKind = MCK_QSRC; break; case PPC::QF22: OpKind = MCK_QSRC; break; case PPC::QF23: OpKind = MCK_QSRC; break; case PPC::QF24: OpKind = MCK_QSRC; break; case PPC::QF25: OpKind = MCK_QSRC; break; case PPC::QF26: OpKind = MCK_QSRC; break; case PPC::QF27: OpKind = MCK_QSRC; break; case PPC::QF28: OpKind = MCK_QSRC; break; case PPC::QF29: OpKind = MCK_QSRC; break; case PPC::QF30: OpKind = MCK_QSRC; break; case PPC::QF31: OpKind = MCK_QSRC; break; case PPC::V0: OpKind = MCK_VRRC; break; case PPC::V1: OpKind = MCK_VRRC; break; case PPC::V2: OpKind = MCK_VRRC; break; case PPC::V3: OpKind = MCK_VRRC; break; case PPC::V4: OpKind = MCK_VRRC; break; case PPC::V5: OpKind = MCK_VRRC; break; case PPC::V6: OpKind = MCK_VRRC; break; case PPC::V7: OpKind = MCK_VRRC; break; case PPC::V8: OpKind = MCK_VRRC; break; case PPC::V9: OpKind = MCK_VRRC; break; case PPC::V10: OpKind = MCK_VRRC; break; case PPC::V11: OpKind = MCK_VRRC; break; case PPC::V12: OpKind = MCK_VRRC; break; case PPC::V13: OpKind = MCK_VRRC; break; case PPC::V14: OpKind = MCK_VRRC; break; case PPC::V15: OpKind = MCK_VRRC; break; case PPC::V16: OpKind = MCK_VRRC; break; case PPC::V17: OpKind = MCK_VRRC; break; case PPC::V18: OpKind = MCK_VRRC; break; case PPC::V19: OpKind = MCK_VRRC; break; case PPC::V20: OpKind = MCK_VRRC; break; case PPC::V21: OpKind = MCK_VRRC; break; case PPC::V22: OpKind = MCK_VRRC; break; case PPC::V23: OpKind = MCK_VRRC; break; case PPC::V24: OpKind = MCK_VRRC; break; case PPC::V25: OpKind = MCK_VRRC; break; case PPC::V26: OpKind = MCK_VRRC; break; case PPC::V27: OpKind = MCK_VRRC; break; case PPC::V28: OpKind = MCK_VRRC; break; case PPC::V29: OpKind = MCK_VRRC; break; case PPC::V30: OpKind = MCK_VRRC; break; case PPC::V31: OpKind = MCK_VRRC; break; case PPC::VSL0: OpKind = MCK_VSLRC; break; case PPC::VSL1: OpKind = MCK_VSLRC; break; case PPC::VSL2: OpKind = MCK_VSLRC; break; case PPC::VSL3: OpKind = MCK_VSLRC; break; case PPC::VSL4: OpKind = MCK_VSLRC; break; case PPC::VSL5: OpKind = MCK_VSLRC; break; case PPC::VSL6: OpKind = MCK_VSLRC; break; case PPC::VSL7: OpKind = MCK_VSLRC; break; case PPC::VSL8: OpKind = MCK_VSLRC; break; case PPC::VSL9: OpKind = MCK_VSLRC; break; case PPC::VSL10: OpKind = MCK_VSLRC; break; case PPC::VSL11: OpKind = MCK_VSLRC; break; case PPC::VSL12: OpKind = MCK_VSLRC; break; case PPC::VSL13: OpKind = MCK_VSLRC; break; case PPC::VSL14: OpKind = MCK_VSLRC; break; case PPC::VSL15: OpKind = MCK_VSLRC; break; case PPC::VSL16: OpKind = MCK_VSLRC; break; case PPC::VSL17: OpKind = MCK_VSLRC; break; case PPC::VSL18: OpKind = MCK_VSLRC; break; case PPC::VSL19: OpKind = MCK_VSLRC; break; case PPC::VSL20: OpKind = MCK_VSLRC; break; case PPC::VSL21: OpKind = MCK_VSLRC; break; case PPC::VSL22: OpKind = MCK_VSLRC; break; case PPC::VSL23: OpKind = MCK_VSLRC; break; case PPC::VSL24: OpKind = MCK_VSLRC; break; case PPC::VSL25: OpKind = MCK_VSLRC; break; case PPC::VSL26: OpKind = MCK_VSLRC; break; case PPC::VSL27: OpKind = MCK_VSLRC; break; case PPC::VSL28: OpKind = MCK_VSLRC; break; case PPC::VSL29: OpKind = MCK_VSLRC; break; case PPC::VSL30: OpKind = MCK_VSLRC; break; case PPC::VSL31: OpKind = MCK_VSLRC; break; case PPC::VSH0: OpKind = MCK_VSHRC; break; case PPC::VSH1: OpKind = MCK_VSHRC; break; case PPC::VSH2: OpKind = MCK_VSHRC; break; case PPC::VSH3: OpKind = MCK_VSHRC; break; case PPC::VSH4: OpKind = MCK_VSHRC; break; case PPC::VSH5: OpKind = MCK_VSHRC; break; case PPC::VSH6: OpKind = MCK_VSHRC; break; case PPC::VSH7: OpKind = MCK_VSHRC; break; case PPC::VSH8: OpKind = MCK_VSHRC; break; case PPC::VSH9: OpKind = MCK_VSHRC; break; case PPC::VSH10: OpKind = MCK_VSHRC; break; case PPC::VSH11: OpKind = MCK_VSHRC; break; case PPC::VSH12: OpKind = MCK_VSHRC; break; case PPC::VSH13: OpKind = MCK_VSHRC; break; case PPC::VSH14: OpKind = MCK_VSHRC; break; case PPC::VSH15: OpKind = MCK_VSHRC; break; case PPC::VSH16: OpKind = MCK_VSHRC; break; case PPC::VSH17: OpKind = MCK_VSHRC; break; case PPC::VSH18: OpKind = MCK_VSHRC; break; case PPC::VSH19: OpKind = MCK_VSHRC; break; case PPC::VSH20: OpKind = MCK_VSHRC; break; case PPC::VSH21: OpKind = MCK_VSHRC; break; case PPC::VSH22: OpKind = MCK_VSHRC; break; case PPC::VSH23: OpKind = MCK_VSHRC; break; case PPC::VSH24: OpKind = MCK_VSHRC; break; case PPC::VSH25: OpKind = MCK_VSHRC; break; case PPC::VSH26: OpKind = MCK_VSHRC; break; case PPC::VSH27: OpKind = MCK_VSHRC; break; case PPC::VSH28: OpKind = MCK_VSHRC; break; case PPC::VSH29: OpKind = MCK_VSHRC; break; case PPC::VSH30: OpKind = MCK_VSHRC; break; case PPC::VSH31: OpKind = MCK_VSHRC; break; case PPC::ZERO: OpKind = MCK_GPRC_NOR0; break; case PPC::ZERO8: OpKind = MCK_G8RC_NOX0; break; case PPC::FP: OpKind = MCK_Reg2; break; case PPC::FP8: OpKind = MCK_Reg5; break; case PPC::BP: OpKind = MCK_Reg2; break; case PPC::BP8: OpKind = MCK_Reg5; break; case PPC::CR0LT: OpKind = MCK_CRBITRC; break; case PPC::CR0GT: OpKind = MCK_CRBITRC; break; case PPC::CR0EQ: OpKind = MCK_CRBITRC; break; case PPC::CR0UN: OpKind = MCK_CRBITRC; break; case PPC::CR1LT: OpKind = MCK_CRBITRC; break; case PPC::CR1GT: OpKind = MCK_CRBITRC; break; case PPC::CR1EQ: OpKind = MCK_CRBITRC; break; case PPC::CR1UN: OpKind = MCK_CRBITRC; break; case PPC::CR2LT: OpKind = MCK_CRBITRC; break; case PPC::CR2GT: OpKind = MCK_CRBITRC; break; case PPC::CR2EQ: OpKind = MCK_CRBITRC; break; case PPC::CR2UN: OpKind = MCK_CRBITRC; break; case PPC::CR3LT: OpKind = MCK_CRBITRC; break; case PPC::CR3GT: OpKind = MCK_CRBITRC; break; case PPC::CR3EQ: OpKind = MCK_CRBITRC; break; case PPC::CR3UN: OpKind = MCK_CRBITRC; break; case PPC::CR4LT: OpKind = MCK_CRBITRC; break; case PPC::CR4GT: OpKind = MCK_CRBITRC; break; case PPC::CR4EQ: OpKind = MCK_CRBITRC; break; case PPC::CR4UN: OpKind = MCK_CRBITRC; break; case PPC::CR5LT: OpKind = MCK_CRBITRC; break; case PPC::CR5GT: OpKind = MCK_CRBITRC; break; case PPC::CR5EQ: OpKind = MCK_CRBITRC; break; case PPC::CR5UN: OpKind = MCK_CRBITRC; break; case PPC::CR6LT: OpKind = MCK_CRBITRC; break; case PPC::CR6GT: OpKind = MCK_CRBITRC; break; case PPC::CR6EQ: OpKind = MCK_CRBITRC; break; case PPC::CR6UN: OpKind = MCK_CRBITRC; break; case PPC::CR7LT: OpKind = MCK_CRBITRC; break; case PPC::CR7GT: OpKind = MCK_CRBITRC; break; case PPC::CR7EQ: OpKind = MCK_CRBITRC; break; case PPC::CR7UN: OpKind = MCK_CRBITRC; break; case PPC::CR0: OpKind = MCK_CRRC0; break; case PPC::CR1: OpKind = MCK_CRRC; break; case PPC::CR2: OpKind = MCK_CRRC; break; case PPC::CR3: OpKind = MCK_CRRC; break; case PPC::CR4: OpKind = MCK_CRRC; break; case PPC::CR5: OpKind = MCK_CRRC; break; case PPC::CR6: OpKind = MCK_CRRC; break; case PPC::CR7: OpKind = MCK_CRRC; break; case PPC::CTR: OpKind = MCK_CTRRC; break; case PPC::CTR8: OpKind = MCK_CTRRC8; break; case PPC::VRSAVE: OpKind = MCK_VRSAVERC; break; case PPC::CARRY: OpKind = MCK_CARRYRC; break; } return isSubclass(OpKind, Kind) ? MCTargetAsmParser::Match_Success : MCTargetAsmParser::Match_InvalidOperand; } return MCTargetAsmParser::Match_InvalidOperand; } uint64_t PPCAsmParser:: ComputeAvailableFeatures(const FeatureBitset& FB) const { uint64_t Features = 0; return Features; } static const char *const MnemonicTable = "\003add\004addc\004adde\004addi\005addic\005addis\005addme\005addze\003" "and\004andc\004andi\005andis\004attn\001b\002ba\002bc\003bca\005bcctr\006" "bcctrl\003bcl\004bcla\004bclr\005bclrl\004bctr\005bctrl\004bdnz\005bdnz" "+\005bdnz-\005bdnza\006bdnza+\006bdnza-\005bdnzf\006bdnzfa\006bdnzfl\007" "bdnzfla\007bdnzflr\010bdnzflrl\005bdnzl\006bdnzl+\006bdnzl-\006bdnzla\007" "bdnzla+\007bdnzla-\006bdnzlr\007bdnzlr+\007bdnzlr-\007bdnzlrl\010bdnzlr" "l+\010bdnzlrl-\005bdnzt\006bdnzta\006bdnztl\007bdnztla\007bdnztlr\010bd" "nztlrl\003bdz\004bdz+\004bdz-\004bdza\005bdza+\005bdza-\004bdzf\005bdzf" "a\005bdzfl\006bdzfla\006bdzflr\007bdzflrl\004bdzl\005bdzl+\005bdzl-\005" "bdzla\006bdzla+\006bdzla-\005bdzlr\006bdzlr+\006bdzlr-\006bdzlrl\007bdz" "lrl+\007bdzlrl-\004bdzt\005bdzta\005bdztl\006bdztla\006bdztlr\007bdztlr" "l\003beq\004beq+\004beq-\004beqa\005beqa+\005beqa-\006beqctr\007beqctr+" "\007beqctr-\007beqctrl\010beqctrl+\010beqctrl-\004beql\005beql+\005beql" "-\005beqla\006beqla+\006beqla-\005beqlr\006beqlr+\006beqlr-\006beqlrl\007" "beqlrl+\007beqlrl-\002bf\003bf+\003bf-\003bfa\004bfa+\004bfa-\005bfctr\006" "bfctr+\006bfctr-\006bfctrl\007bfctrl+\007bfctrl-\003bfl\004bfl+\004bfl-" "\004bfla\005bfla+\005bfla-\004bflr\005bflr+\005bflr-\005bflrl\006bflrl+" "\006bflrl-\003bge\004bge+\004bge-\004bgea\005bgea+\005bgea-\006bgectr\007" "bgectr+\007bgectr-\007bgectrl\010bgectrl+\010bgectrl-\004bgel\005bgel+\005" "bgel-\005bgela\006bgela+\006bgela-\005bgelr\006bgelr+\006bgelr-\006bgel" "rl\007bgelrl+\007bgelrl-\003bgt\004bgt+\004bgt-\004bgta\005bgta+\005bgt" "a-\006bgtctr\007bgtctr+\007bgtctr-\007bgtctrl\010bgtctrl+\010bgtctrl-\004" "bgtl\005bgtl+\005bgtl-\005bgtla\006bgtla+\006bgtla-\005bgtlr\006bgtlr+\006" "bgtlr-\006bgtlrl\007bgtlrl+\007bgtlrl-\002bl\003bla\003ble\004ble+\004b" "le-\004blea\005blea+\005blea-\006blectr\007blectr+\007blectr-\007blectr" "l\010blectrl+\010blectrl-\004blel\005blel+\005blel-\005blela\006blela+\006" "blela-\005blelr\006blelr+\006blelr-\006blelrl\007blelrl+\007blelrl-\003" "blr\004blrl\003blt\004blt+\004blt-\004blta\005blta+\005blta-\006bltctr\007" "bltctr+\007bltctr-\007bltctrl\010bltctrl+\010bltctrl-\004bltl\005bltl+\005" "bltl-\005bltla\006bltla+\006bltla-\005bltlr\006bltlr+\006bltlr-\006bltl" "rl\007bltlrl+\007bltlrl-\003bne\004bne+\004bne-\004bnea\005bnea+\005bne" "a-\006bnectr\007bnectr+\007bnectr-\007bnectrl\010bnectrl+\010bnectrl-\004" "bnel\005bnel+\005bnel-\005bnela\006bnela+\006bnela-\005bnelr\006bnelr+\006" "bnelr-\006bnelrl\007bnelrl+\007bnelrl-\003bng\004bng+\004bng-\004bnga\005" "bnga+\005bnga-\006bngctr\007bngctr+\007bngctr-\007bngctrl\010bngctrl+\010" "bngctrl-\004bngl\005bngl+\005bngl-\005bngla\006bngla+\006bngla-\005bngl" "r\006bnglr+\006bnglr-\006bnglrl\007bnglrl+\007bnglrl-\003bnl\004bnl+\004" "bnl-\004bnla\005bnla+\005bnla-\006bnlctr\007bnlctr+\007bnlctr-\007bnlct" "rl\010bnlctrl+\010bnlctrl-\004bnll\005bnll+\005bnll-\005bnlla\006bnlla+" "\006bnlla-\005bnllr\006bnllr+\006bnllr-\006bnllrl\007bnllrl+\007bnllrl-" "\003bns\004bns+\004bns-\004bnsa\005bnsa+\005bnsa-\006bnsctr\007bnsctr+\007" "bnsctr-\007bnsctrl\010bnsctrl+\010bnsctrl-\004bnsl\005bnsl+\005bnsl-\005" "bnsla\006bnsla+\006bnsla-\005bnslr\006bnslr+\006bnslr-\006bnslrl\007bns" "lrl+\007bnslrl-\003bnu\004bnu+\004bnu-\004bnua\005bnua+\005bnua-\006bnu" "ctr\007bnuctr+\007bnuctr-\007bnuctrl\010bnuctrl+\010bnuctrl-\004bnul\005" "bnul+\005bnul-\005bnula\006bnula+\006bnula-\005bnulr\006bnulr+\006bnulr" "-\006bnulrl\007bnulrl+\007bnulrl-\006bpermd\005brinc\003bso\004bso+\004" "bso-\004bsoa\005bsoa+\005bsoa-\006bsoctr\007bsoctr+\007bsoctr-\007bsoct" "rl\010bsoctrl+\010bsoctrl-\004bsol\005bsol+\005bsol-\005bsola\006bsola+" "\006bsola-\005bsolr\006bsolr+\006bsolr-\006bsolrl\007bsolrl+\007bsolrl-" "\002bt\003bt+\003bt-\003bta\004bta+\004bta-\005btctr\006btctr+\006btctr" "-\006btctrl\007btctrl+\007btctrl-\003btl\004btl+\004btl-\004btla\005btl" "a+\005btla-\004btlr\005btlr+\005btlr-\005btlrl\006btlrl+\006btlrl-\003b" "un\004bun+\004bun-\004buna\005buna+\005buna-\006bunctr\007bunctr+\007bu" "nctr-\007bunctrl\010bunctrl+\010bunctrl-\004bunl\005bunl+\005bunl-\005b" "unla\006bunla+\006bunla-\005bunlr\006bunlr+\006bunlr-\006bunlrl\007bunl" "rl+\007bunlrl-\007clrbhrb\006clrldi\010clrlsldi\010clrlslwi\006clrlwi\006" "clrrdi\006clrrwi\003cmp\004cmpb\004cmpd\005cmpdi\004cmpi\004cmpl\005cmp" "ld\006cmpldi\005cmpli\005cmplw\006cmplwi\004cmpw\005cmpwi\006cntlzd\006" "cntlzw\005crand\006crandc\005crclr\005creqv\006crmove\006crnand\005crno" "r\005crnot\004cror\005crorc\005crset\005crxor\004dcba\004dcbf\004dcbi\005" "dcbst\004dcbt\006dcbtct\006dcbtds\006dcbtst\010dcbtstct\010dcbtstds\007" "dcbtstt\005dcbtt\004dcbz\005dcbzl\005dccci\003dci\004divd\005divde\006d" "ivdeu\005divdu\004divw\005divwe\006divweu\005divwu\003dss\006dssall\003" "dst\005dstst\006dststt\004dstt\005eieio\003eqv\005evabs\007evaddiw\013e" "vaddsmiaaw\013evaddssiaaw\013evaddumiaaw\013evaddusiaaw\006evaddw\005ev" "and\006evandc\007evcmpeq\010evcmpgts\010evcmpgtu\010evcmplts\010evcmplt" "u\010evcntlsw\010evcntlzw\007evdivws\007evdivwu\005eveqv\007evextsb\007" "evextsh\005evldd\006evlddx\005evldh\006evldhx\005evldw\006evldwx\013evl" "hhesplat\014evlhhesplatx\014evlhhossplat\015evlhhossplatx\014evlhhouspl" "at\015evlhhousplatx\006evlwhe\007evlwhex\007evlwhos\010evlwhosx\007evlw" "hou\010evlwhoux\nevlwhsplat\013evlwhsplatx\nevlwwsplat\013evlwwsplatx\t" "evmergehi\013evmergehilo\tevmergelo\013evmergelohi\013evmhegsmfaa\013ev" "mhegsmfan\013evmhegsmiaa\013evmhegsmian\013evmhegumiaa\013evmhegumian\010" "evmhesmf\tevmhesmfa\013evmhesmfaaw\013evmhesmfanw\010evmhesmi\tevmhesmi" "a\013evmhesmiaaw\013evmhesmianw\010evmhessf\tevmhessfa\013evmhessfaaw\013" "evmhessfanw\013evmhessiaaw\013evmhessianw\010evmheumi\tevmheumia\013evm" "heumiaaw\013evmheumianw\013evmheusiaaw\013evmheusianw\013evmhogsmfaa\013" "evmhogsmfan\013evmhogsmiaa\013evmhogsmian\013evmhogumiaa\013evmhogumian" "\010evmhosmf\tevmhosmfa\013evmhosmfaaw\013evmhosmfanw\010evmhosmi\tevmh" "osmia\013evmhosmiaaw\013evmhosmianw\010evmhossf\tevmhossfa\013evmhossfa" "aw\013evmhossfanw\013evmhossiaaw\013evmhossianw\010evmhoumi\tevmhoumia\013" "evmhoumiaaw\013evmhoumianw\013evmhousiaaw\013evmhousianw\005evmra\010ev" "mwhsmf\tevmwhsmfa\010evmwhsmi\tevmwhsmia\010evmwhssf\tevmwhssfa\010evmw" "humi\tevmwhumia\013evmwlsmiaaw\013evmwlsmianw\013evmwlssiaaw\013evmwlss" "ianw\010evmwlumi\tevmwlumia\013evmwlumiaaw\013evmwlumianw\013evmwlusiaa" "w\013evmwlusianw\007evmwsmf\010evmwsmfa\tevmwsmfaa\tevmwsmfan\007evmwsm" "i\010evmwsmia\tevmwsmiaa\tevmwsmian\007evmwssf\010evmwssfa\tevmwssfaa\t" "evmwssfan\007evmwumi\010evmwumia\tevmwumiaa\tevmwumian\006evnand\005evn" "eg\005evnor\004evor\005evorc\005evrlw\006evrlwi\006evrndw\005evslw\006e" "vslwi\tevsplatfi\010evsplati\007evsrwis\007evsrwiu\006evsrws\006evsrwu\006" "evstdd\007evstddx\006evstdh\007evstdhx\006evstdw\007evstdwx\007evstwhe\010" "evstwhex\007evstwho\010evstwhox\007evstwwe\010evstwwex\007evstwwo\010ev" "stwwox\014evsubfsmiaaw\014evsubfssiaaw\014evsubfumiaaw\014evsubfusiaaw\007" "evsubfw\010evsubifw\005evxor\006extldi\006extlwi\006extrdi\006extrwi\005" "extsb\005extsh\005extsw\004fabs\004fadd\005fadds\005fcfid\006fcfids\006" "fcfidu\007fcfidus\005fcmpu\006fcpsgn\005fctid\007fctiduz\006fctidz\005f" "ctiw\007fctiwuz\006fctiwz\004fdiv\005fdivs\005fmadd\006fmadds\003fmr\005" "fmsub\006fmsubs\004fmul\005fmuls\005fnabs\004fneg\006fnmadd\007fnmadds\006" "fnmsub\007fnmsubs\003fre\004fres\004frim\004frin\004frip\004friz\004frs" "p\007frsqrte\010frsqrtes\004fsel\005fsqrt\006fsqrts\004fsub\005fsubs\004" "icbi\004icbt\005iccci\003ici\006inslwi\006insrdi\006insrwi\004isel\005i" "sync\002la\005lbarx\003lbz\006lbzcix\004lbzu\005lbzux\004lbzx\002ld\005" "ldarx\005ldbrx\005ldcix\003ldu\004ldux\003ldx\003lfd\004lfdu\005lfdux\004" "lfdx\006lfiwax\006lfiwzx\003lfs\004lfsu\005lfsux\004lfsx\003lha\005lhar" "x\004lhau\005lhaux\004lhax\005lhbrx\003lhz\006lhzcix\004lhzu\005lhzux\004" "lhzx\002li\003lis\003lmw\004lswi\005lvebx\005lvehx\005lvewx\004lvsl\004" "lvsr\003lvx\004lvxl\003lwa\005lwarx\005lwaux\004lwax\005lwbrx\006lwsync" "\003lwz\006lwzcix\004lwzu\005lwzux\004lwzx\005lxsdx\007lxsiwax\007lxsiw" "zx\006lxsspx\006lxvd2x\006lxvdsx\006lxvw4x\004mbar\004mcrf\005mcrfs\005" "mfamr\005mfasr\007mfbhrbe\005mfbr0\005mfbr1\005mfbr2\005mfbr3\005mfbr4\005" "mfbr5\005mfbr6\005mfbr7\006mfcfar\004mfcr\005mfctr\005mfdar\007mfdbatl\007" "mfdbatu\006mfdccr\005mfdcr\006mfdear\005mfdec\006mfdscr\007mfdsisr\005m" "fesr\004mffs\007mfibatl\007mfibatu\006mficcr\004mflr\005mfmsr\006mfocrf" "\005mfpid\005mfpvr\006mfrtcl\006mfrtcu\006mfsdr1\tmfspefscr\005mfspr\006" "mfsprg\007mfsprg0\007mfsprg1\007mfsprg2\007mfsprg3\007mfsprg4\007mfsprg" "5\007mfsprg6\007mfsprg7\004mfsr\006mfsrin\006mfsrr0\006mfsrr1\006mfsrr2" "\006mfsrr3\004mftb\006mftbhi\005mftbl\006mftblo\005mftbu\005mftcr\006mf" "vscr\006mfvsrd\007mfvsrwz\005mfxer\002mr\005msync\005mtamr\005mtasr\005" "mtbr0\005mtbr1\005mtbr2\005mtbr3\005mtbr4\005mtbr5\005mtbr6\005mtbr7\006" "mtcfar\004mtcr\005mtcrf\005mtctr\005mtdar\007mtdbatl\007mtdbatu\006mtdc" "cr\005mtdcr\006mtdear\005mtdec\006mtdscr\007mtdsisr\005mtesr\006mtfsb0\006" "mtfsb1\005mtfsf\006mtfsfi\007mtibatl\007mtibatu\006mticcr\004mtlr\005mt" "msr\006mtmsrd\006mtocrf\005mtpid\006mtsdr1\tmtspefscr\005mtspr\006mtspr" "g\007mtsprg0\007mtsprg1\007mtsprg2\007mtsprg3\007mtsprg4\007mtsprg5\007" "mtsprg6\007mtsprg7\004mtsr\006mtsrin\006mtsrr0\006mtsrr1\006mtsrr2\006m" "tsrr3\006mttbhi\005mttbl\006mttblo\005mttbu\005mttcr\006mtvscr\006mtvsr" "d\007mtvsrwa\007mtvsrwz\005mtxer\005mulhd\006mulhdu\005mulhw\006mulhwu\005" "mulld\005mulli\005mullw\004nand\003neg\003nop\003nor\003not\002or\003or" "c\003ori\004oris\007popcntd\007popcntw\007ptesync\010qvaligni\tqvesplat" "i\006qvfabs\006qvfadd\007qvfadds\006qvfand\007qvfandc\007qvfcfid\010qvf" "cfids\010qvfcfidu\tqvfcfidus\006qvfclr\010qvfcmpeq\010qvfcmpgt\010qvfcm" "plt\010qvfcpsgn\007qvfctfb\007qvfctid\010qvfctidu\tqvfctiduz\010qvfctid" "z\007qvfctiw\010qvfctiwu\tqvfctiwuz\010qvfctiwz\006qvfequ\nqvflogical\007" "qvfmadd\010qvfmadds\005qvfmr\007qvfmsub\010qvfmsubs\006qvfmul\007qvfmul" "s\007qvfnabs\007qvfnand\006qvfneg\010qvfnmadd\tqvfnmadds\010qvfnmsub\tq" "vfnmsubs\006qvfnor\006qvfnot\005qvfor\006qvforc\007qvfperm\005qvfre\006" "qvfres\006qvfrim\006qvfrin\006qvfrip\006qvfriz\006qvfrsp\tqvfrsqrte\nqv" "frsqrtes\006qvfsel\006qvfset\006qvfsub\007qvfsubs\tqvftstnan\010qvfxmad" "d\tqvfxmadds\007qvfxmul\010qvfxmuls\006qvfxor\014qvfxxcpnmadd\015qvfxxc" "pnmadds\tqvfxxmadd\nqvfxxmadds\013qvfxxnpmadd\014qvfxxnpmadds\006qvgpci" "\010qvlfcdux\tqvlfcduxa\007qvlfcdx\010qvlfcdxa\010qvlfcsux\tqvlfcsuxa\007" "qvlfcsx\010qvlfcsxa\007qvlfdux\010qvlfduxa\006qvlfdx\007qvlfdxa\010qvlf" "iwax\tqvlfiwaxa\010qvlfiwzx\tqvlfiwzxa\007qvlfsux\010qvlfsuxa\006qvlfsx" "\007qvlfsxa\010qvlpcldx\010qvlpclsx\010qvlpcrdx\010qvlpcrsx\tqvstfcdux\n" "qvstfcduxa\nqvstfcduxi\013qvstfcduxia\010qvstfcdx\tqvstfcdxa\tqvstfcdxi" "\nqvstfcdxia\tqvstfcsux\nqvstfcsuxa\nqvstfcsuxi\013qvstfcsuxia\010qvstf" "csx\tqvstfcsxa\tqvstfcsxi\nqvstfcsxia\010qvstfdux\tqvstfduxa\tqvstfduxi" "\nqvstfduxia\007qvstfdx\010qvstfdxa\010qvstfdxi\tqvstfdxia\010qvstfiwx\t" "qvstfiwxa\010qvstfsux\tqvstfsuxa\tqvstfsuxi\nqvstfsuxia\007qvstfsx\010q" "vstfsxa\010qvstfsxi\tqvstfsxia\004rfci\004rfdi\005rfebb\003rfi\004rfid\005" "rfmci\005rldcl\005rldcr\005rldic\006rldicl\006rldicr\006rldimi\006rlwim" "i\006rlwinm\005rlwnm\005rotld\006rotldi\005rotlw\006rotlwi\006rotrdi\006" "rotrwi\002sc\005slbia\005slbie\007slbmfee\006slbmte\003sld\004sldi\003s" "lw\004slwi\004srad\005sradi\004sraw\005srawi\003srd\004srdi\003srw\004s" "rwi\003stb\006stbcix\005stbcx\004stbu\005stbux\004stbx\003std\006stdbrx" "\006stdcix\005stdcx\004stdu\005stdux\004stdx\004stfd\005stfdu\006stfdux" "\005stfdx\006stfiwx\004stfs\005stfsu\006stfsux\005stfsx\003sth\006sthbr" "x\006sthcix\005sthcx\004sthu\005sthux\004sthx\004stmw\005stswi\006stveb" "x\006stvehx\006stvewx\004stvx\005stvxl\003stw\006stwbrx\006stwcix\005st" "wcx\004stwu\005stwux\004stwx\006stxsdx\007stxsiwx\007stxsspx\007stxvd2x" "\007stxvw4x\003sub\004subc\004subf\005subfc\005subfe\006subfic\006subfm" "e\006subfze\004subi\005subic\005subis\004sync\006tabort\010tabortdc\tta" "bortdci\010tabortwc\ttabortwci\006tbegin\006tcheck\002td\004tdeq\005tde" "qi\004tdge\005tdgei\004tdgt\005tdgti\003tdi\004tdle\005tdlei\005tdlge\006" "tdlgei\005tdlgt\006tdlgti\005tdlle\006tdllei\005tdllt\006tdllti\005tdln" "g\006tdlngi\005tdlnl\006tdlnli\004tdlt\005tdlti\004tdne\005tdnei\004tdn" "g\005tdngi\004tdnl\005tdnli\003tdu\004tdui\004tend\005tlbia\005tlbie\006" "tlbiel\007tlbivax\005tlbld\005tlbli\005tlbre\007tlbrehi\007tlbrelo\005t" "lbsx\007tlbsync\005tlbwe\007tlbwehi\007tlbwelo\004trap\010trechkpt\010t" "reclaim\003tsr\002tw\004tweq\005tweqi\004twge\005twgei\004twgt\005twgti" "\003twi\004twle\005twlei\005twlge\006twlgei\005twlgt\006twlgti\005twlle" "\006twllei\005twllt\006twllti\005twlng\006twlngi\005twlnl\006twlnli\004" "twlt\005twlti\004twne\005twnei\004twng\005twngi\004twnl\005twnli\003twu" "\004twui\007vaddcuq\007vaddcuw\010vaddecuq\010vaddeuqm\006vaddfp\007vad" "dsbs\007vaddshs\007vaddsws\007vaddubm\007vaddubs\007vaddudm\007vadduhm\007" "vadduhs\007vadduqm\007vadduwm\007vadduws\004vand\005vandc\006vavgsb\006" "vavgsh\006vavgsw\006vavgub\006vavguh\006vavguw\007vbpermq\005vcfsx\005v" "cfux\007vcipher\013vcipherlast\005vclzb\005vclzd\005vclzh\005vclzw\007v" "cmpbfp\010vcmpeqfp\010vcmpequb\010vcmpequd\010vcmpequh\010vcmpequw\010v" "cmpgefp\010vcmpgtfp\010vcmpgtsb\010vcmpgtsd\010vcmpgtsh\010vcmpgtsw\010" "vcmpgtub\010vcmpgtud\010vcmpgtuh\010vcmpgtuw\006vctsxs\006vctuxs\004veq" "v\010vexptefp\005vgbbd\007vlogefp\007vmaddfp\006vmaxfp\006vmaxsb\006vma" "xsd\006vmaxsh\006vmaxsw\006vmaxub\006vmaxud\006vmaxuh\006vmaxuw\tvmhadd" "shs\nvmhraddshs\006vminfp\006vminsb\006vminsd\006vminsh\006vminsw\006vm" "inub\006vminud\006vminuh\006vminuw\tvmladduhm\006vmrgew\006vmrghb\006vm" "rghh\006vmrghw\006vmrglb\006vmrglh\006vmrglw\006vmrgow\010vmsummbm\010v" "msumshm\010vmsumshs\010vmsumubm\010vmsumuhm\010vmsumuhs\007vmulesb\007v" "mulesh\007vmulesw\007vmuleub\007vmuleuh\007vmuleuw\007vmulosb\007vmulos" "h\007vmulosw\007vmuloub\007vmulouh\007vmulouw\007vmuluwm\005vnand\010vn" "cipher\014vncipherlast\010vnmsubfp\004vnor\003vor\004vorc\005vperm\010v" "permxor\005vpkpx\007vpksdss\007vpksdus\007vpkshss\007vpkshus\007vpkswss" "\007vpkswus\007vpkudum\007vpkudus\007vpkuhum\007vpkuhus\007vpkuwum\007v" "pkuwus\007vpmsumb\007vpmsumd\007vpmsumh\007vpmsumw\010vpopcntb\010vpopc" "ntd\010vpopcnth\010vpopcntw\005vrefp\005vrfim\005vrfin\005vrfip\005vrfi" "z\004vrlb\004vrld\004vrlh\004vrlw\tvrsqrtefp\005vsbox\004vsel\nvshasigm" "ad\nvshasigmaw\003vsl\004vslb\004vsld\006vsldoi\004vslh\004vslo\004vslw" "\006vspltb\006vsplth\010vspltisb\010vspltish\010vspltisw\006vspltw\003v" "sr\005vsrab\005vsrad\005vsrah\005vsraw\004vsrb\004vsrd\004vsrh\004vsro\004" "vsrw\007vsubcuq\007vsubcuw\010vsubecuq\010vsubeuqm\006vsubfp\007vsubsbs" "\007vsubshs\007vsubsws\007vsububm\007vsububs\007vsubudm\007vsubuhm\007v" "subuhs\007vsubuqm\007vsubuwm\007vsubuws\010vsum2sws\010vsum4sbs\010vsum" "4shs\010vsum4ubs\007vsumsws\007vupkhpx\007vupkhsb\007vupkhsh\007vupkhsw" "\007vupklpx\007vupklsb\007vupklsh\007vupklsw\004vxor\004wait\010waitimp" "l\007waitrsv\005wrtee\006wrteei\004xnop\003xor\004xori\005xoris\007xsab" "sdp\007xsadddp\007xsaddsp\010xscmpodp\010xscmpudp\txscpsgndp\010xscvdps" "p\txscvdpspn\nxscvdpsxds\nxscvdpsxws\nxscvdpuxds\nxscvdpuxws\010xscvspd" "p\txscvspdpn\txscvsxddp\txscvsxdsp\txscvuxddp\txscvuxdsp\007xsdivdp\007" "xsdivsp\txsmaddadp\txsmaddasp\txsmaddmdp\txsmaddmsp\007xsmaxdp\007xsmin" "dp\txsmsubadp\txsmsubasp\txsmsubmdp\txsmsubmsp\007xsmuldp\007xsmulsp\010" "xsnabsdp\007xsnegdp\nxsnmaddadp\nxsnmaddasp\nxsnmaddmdp\nxsnmaddmsp\nxs" "nmsubadp\nxsnmsubasp\nxsnmsubmdp\nxsnmsubmsp\006xsrdpi\007xsrdpic\007xs" "rdpim\007xsrdpip\007xsrdpiz\006xsredp\006xsresp\nxsrsqrtedp\nxsrsqrtesp" "\010xssqrtdp\010xssqrtsp\007xssubdp\007xssubsp\010xstdivdp\txstsqrtdp\007" "xvabsdp\007xvabssp\007xvadddp\007xvaddsp\txvcmpeqdp\txvcmpeqsp\txvcmpge" "dp\txvcmpgesp\txvcmpgtdp\txvcmpgtsp\txvcpsgndp\txvcpsgnsp\010xvcvdpsp\n" "xvcvdpsxds\nxvcvdpsxws\nxvcvdpuxds\nxvcvdpuxws\010xvcvspdp\nxvcvspsxds\n" "xvcvspsxws\nxvcvspuxds\nxvcvspuxws\txvcvsxddp\txvcvsxdsp\txvcvsxwdp\txv" "cvsxwsp\txvcvuxddp\txvcvuxdsp\txvcvuxwdp\txvcvuxwsp\007xvdivdp\007xvdiv" "sp\txvmaddadp\txvmaddasp\txvmaddmdp\txvmaddmsp\007xvmaxdp\007xvmaxsp\007" "xvmindp\007xvminsp\007xvmovdp\007xvmovsp\txvmsubadp\txvmsubasp\txvmsubm" "dp\txvmsubmsp\007xvmuldp\007xvmulsp\010xvnabsdp\010xvnabssp\007xvnegdp\007" "xvnegsp\nxvnmaddadp\nxvnmaddasp\nxvnmaddmdp\nxvnmaddmsp\nxvnmsubadp\nxv" "nmsubasp\nxvnmsubmdp\nxvnmsubmsp\006xvrdpi\007xvrdpic\007xvrdpim\007xvr" "dpip\007xvrdpiz\006xvredp\006xvresp\006xvrspi\007xvrspic\007xvrspim\007" "xvrspip\007xvrspiz\nxvrsqrtedp\nxvrsqrtesp\010xvsqrtdp\010xvsqrtsp\007x" "vsubdp\007xvsubsp\010xvtdivdp\010xvtdivsp\txvtsqrtdp\txvtsqrtsp\006xxla" "nd\007xxlandc\006xxleqv\007xxlnand\006xxlnor\005xxlor\006xxlorc\006xxlx" "or\007xxmrghd\007xxmrghw\007xxmrgld\007xxmrglw\010xxpermdi\005xxsel\007" "xxsldwi\007xxspltd\007xxspltw\007xxswapd"; namespace { struct MatchEntry { uint16_t Mnemonic; uint16_t Opcode; uint16_t ConvertFn; uint8_t RequiredFeatures; uint8_t Classes[6]; StringRef getMnemonic() const { return StringRef(MnemonicTable + Mnemonic + 1, MnemonicTable[Mnemonic]); } }; // Predicate for searching for an opcode. struct LessOpcode { bool operator()(const MatchEntry &LHS, StringRef RHS) { return LHS.getMnemonic() < RHS; } bool operator()(StringRef LHS, const MatchEntry &RHS) { return LHS < RHS.getMnemonic(); } bool operator()(const MatchEntry &LHS, const MatchEntry &RHS) { return LHS.getMnemonic() < RHS.getMnemonic(); } }; } // end anonymous namespace. static const MatchEntry MatchTable0[] = { { 0 /* add */, PPC::ADD8TLS_, Convert__RegG8RC1_0__RegG8RC1_1__TLSReg1_2, 0, { MCK_RegG8RC, MCK_RegG8RC, MCK_TLSReg }, }, { 0 /* add */, PPC::ADD4, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, }, { 0 /* add */, PPC::ADD4o, Convert__RegGPRC1_1__RegGPRC1_2__RegGPRC1_3, 0, { MCK__DOT_, MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, }, { 4 /* addc */, PPC::ADDC, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, }, { 4 /* addc */, PPC::ADDCo, Convert__RegGPRC1_1__RegGPRC1_2__RegGPRC1_3, 0, { MCK__DOT_, MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, }, { 9 /* adde */, PPC::ADDE, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, }, { 9 /* adde */, PPC::ADDEo, Convert__RegGPRC1_1__RegGPRC1_2__RegGPRC1_3, 0, { MCK__DOT_, MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, }, { 14 /* addi */, PPC::ADDI, Convert__RegGPRC1_0__RegGPRCNoR01_1__S16Imm1_2, 0, { MCK_RegGPRC, MCK_RegGPRCNoR0, MCK_S16Imm }, }, { 19 /* addic */, PPC::ADDIC, Convert__RegGPRC1_0__RegGPRC1_1__S16Imm1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_S16Imm }, }, { 19 /* addic */, PPC::ADDICo, Convert__RegGPRC1_1__RegGPRC1_2__S16Imm1_3, 0, { MCK__DOT_, MCK_RegGPRC, MCK_RegGPRC, MCK_S16Imm }, }, { 25 /* addis */, PPC::ADDIS, Convert__RegGPRC1_0__RegGPRCNoR01_1__S17Imm1_2, 0, { MCK_RegGPRC, MCK_RegGPRCNoR0, MCK_S17Imm }, }, { 31 /* addme */, PPC::ADDME, Convert__RegGPRC1_0__RegGPRC1_1, 0, { MCK_RegGPRC, MCK_RegGPRC }, }, { 31 /* addme */, PPC::ADDMEo, Convert__RegGPRC1_1__RegGPRC1_2, 0, { MCK__DOT_, MCK_RegGPRC, MCK_RegGPRC }, }, { 37 /* addze */, PPC::ADDZE, Convert__RegGPRC1_0__RegGPRC1_1, 0, { MCK_RegGPRC, MCK_RegGPRC }, }, { 37 /* addze */, PPC::ADDZEo, Convert__RegGPRC1_1__RegGPRC1_2, 0, { MCK__DOT_, MCK_RegGPRC, MCK_RegGPRC }, }, { 43 /* and */, PPC::AND, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, }, { 43 /* and */, PPC::ANDo, Convert__RegGPRC1_1__RegGPRC1_2__RegGPRC1_3, 0, { MCK__DOT_, MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, }, { 47 /* andc */, PPC::ANDC, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, }, { 47 /* andc */, PPC::ANDCo, Convert__RegGPRC1_1__RegGPRC1_2__RegGPRC1_3, 0, { MCK__DOT_, MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, }, { 52 /* andi */, PPC::ANDIo, Convert__RegGPRC1_1__RegGPRC1_2__U16Imm1_3, 0, { MCK__DOT_, MCK_RegGPRC, MCK_RegGPRC, MCK_U16Imm }, }, { 57 /* andis */, PPC::ANDISo, Convert__RegGPRC1_1__RegGPRC1_2__U16Imm1_3, 0, { MCK__DOT_, MCK_RegGPRC, MCK_RegGPRC, MCK_U16Imm }, }, { 63 /* attn */, PPC::ATTN, Convert_NoOperands, 0, { }, }, { 68 /* b */, PPC::B, Convert__DirectBr1_0, 0, { MCK_DirectBr }, }, { 70 /* ba */, PPC::BA, Convert__DirectBr1_0, 0, { MCK_DirectBr }, }, { 73 /* bc */, PPC::gBC, Convert__U5Imm1_0__RegCRBITRC1_1__CondBr1_2, 0, { MCK_U5Imm, MCK_RegCRBITRC, MCK_CondBr }, }, { 76 /* bca */, PPC::gBCA, Convert__U5Imm1_0__RegCRBITRC1_1__CondBr1_2, 0, { MCK_U5Imm, MCK_RegCRBITRC, MCK_CondBr }, }, { 80 /* bcctr */, PPC::gBCCTR, Convert__U5Imm1_0__RegCRBITRC1_1__imm_95_0, 0, { MCK_U5Imm, MCK_RegCRBITRC }, }, { 80 /* bcctr */, PPC::gBCCTR, Convert__U5Imm1_0__RegCRBITRC1_1__Imm1_2, 0, { MCK_U5Imm, MCK_RegCRBITRC, MCK_Imm }, }, { 86 /* bcctrl */, PPC::gBCCTRL, Convert__U5Imm1_0__RegCRBITRC1_1__imm_95_0, 0, { MCK_U5Imm, MCK_RegCRBITRC }, }, { 86 /* bcctrl */, PPC::gBCCTRL, Convert__U5Imm1_0__RegCRBITRC1_1__Imm1_2, 0, { MCK_U5Imm, MCK_RegCRBITRC, MCK_Imm }, }, { 93 /* bcl */, PPC::gBCL, Convert__U5Imm1_0__RegCRBITRC1_1__CondBr1_2, 0, { MCK_U5Imm, MCK_RegCRBITRC, MCK_CondBr }, }, { 97 /* bcla */, PPC::gBCLA, Convert__U5Imm1_0__RegCRBITRC1_1__CondBr1_2, 0, { MCK_U5Imm, MCK_RegCRBITRC, MCK_CondBr }, }, { 102 /* bclr */, PPC::gBCLR, Convert__U5Imm1_0__RegCRBITRC1_1__imm_95_0, 0, { MCK_U5Imm, MCK_RegCRBITRC }, }, { 102 /* bclr */, PPC::gBCLR, Convert__U5Imm1_0__RegCRBITRC1_1__Imm1_2, 0, { MCK_U5Imm, MCK_RegCRBITRC, MCK_Imm }, }, { 107 /* bclrl */, PPC::gBCLRL, Convert__U5Imm1_0__RegCRBITRC1_1__imm_95_0, 0, { MCK_U5Imm, MCK_RegCRBITRC }, }, { 107 /* bclrl */, PPC::gBCLRL, Convert__U5Imm1_0__RegCRBITRC1_1__Imm1_2, 0, { MCK_U5Imm, MCK_RegCRBITRC, MCK_Imm }, }, { 113 /* bctr */, PPC::BCTR, Convert_NoOperands, 0, { }, }, { 118 /* bctrl */, PPC::BCTRL, Convert_NoOperands, 0, { }, }, { 124 /* bdnz */, PPC::BDNZ, Convert__CondBr1_0, 0, { MCK_CondBr }, }, { 129 /* bdnz+ */, PPC::BDNZp, Convert__CondBr1_0, 0, { MCK_CondBr }, }, { 135 /* bdnz- */, PPC::BDNZm, Convert__CondBr1_0, 0, { MCK_CondBr }, }, { 141 /* bdnza */, PPC::BDNZA, Convert__CondBr1_0, 0, { MCK_CondBr }, }, { 147 /* bdnza+ */, PPC::BDNZAp, Convert__CondBr1_0, 0, { MCK_CondBr }, }, { 154 /* bdnza- */, PPC::BDNZAm, Convert__CondBr1_0, 0, { MCK_CondBr }, }, { 161 /* bdnzf */, PPC::gBC, Convert__imm_95_0__RegCRBITRC1_0__CondBr1_1, 0, { MCK_RegCRBITRC, MCK_CondBr }, }, { 167 /* bdnzfa */, PPC::gBCA, Convert__imm_95_0__RegCRBITRC1_0__CondBr1_1, 0, { MCK_RegCRBITRC, MCK_CondBr }, }, { 174 /* bdnzfl */, PPC::gBCL, Convert__imm_95_0__RegCRBITRC1_0__CondBr1_1, 0, { MCK_RegCRBITRC, MCK_CondBr }, }, { 181 /* bdnzfla */, PPC::gBCLA, Convert__imm_95_0__RegCRBITRC1_0__CondBr1_1, 0, { MCK_RegCRBITRC, MCK_CondBr }, }, { 189 /* bdnzflr */, PPC::gBCLR, Convert__imm_95_0__RegCRBITRC1_0__imm_95_0, 0, { MCK_RegCRBITRC }, }, { 197 /* bdnzflrl */, PPC::gBCLRL, Convert__imm_95_0__RegCRBITRC1_0__imm_95_0, 0, { MCK_RegCRBITRC }, }, { 206 /* bdnzl */, PPC::BDNZL, Convert__CondBr1_0, 0, { MCK_CondBr }, }, { 212 /* bdnzl+ */, PPC::BDNZLp, Convert__CondBr1_0, 0, { MCK_CondBr }, }, { 219 /* bdnzl- */, PPC::BDNZLm, Convert__CondBr1_0, 0, { MCK_CondBr }, }, { 226 /* bdnzla */, PPC::BDNZLA, Convert__CondBr1_0, 0, { MCK_CondBr }, }, { 233 /* bdnzla+ */, PPC::BDNZLAp, Convert__CondBr1_0, 0, { MCK_CondBr }, }, { 241 /* bdnzla- */, PPC::BDNZLAm, Convert__CondBr1_0, 0, { MCK_CondBr }, }, { 249 /* bdnzlr */, PPC::BDNZLR, Convert_NoOperands, 0, { }, }, { 256 /* bdnzlr+ */, PPC::BDNZLRp, Convert_NoOperands, 0, { }, }, { 264 /* bdnzlr- */, PPC::BDNZLRm, Convert_NoOperands, 0, { }, }, { 272 /* bdnzlrl */, PPC::BDNZLRL, Convert_NoOperands, 0, { }, }, { 280 /* bdnzlrl+ */, PPC::BDNZLRLp, Convert_NoOperands, 0, { }, }, { 289 /* bdnzlrl- */, PPC::BDNZLRLm, Convert_NoOperands, 0, { }, }, { 298 /* bdnzt */, PPC::gBC, Convert__imm_95_8__RegCRBITRC1_0__CondBr1_1, 0, { MCK_RegCRBITRC, MCK_CondBr }, }, { 304 /* bdnzta */, PPC::gBCA, Convert__imm_95_8__RegCRBITRC1_0__CondBr1_1, 0, { MCK_RegCRBITRC, MCK_CondBr }, }, { 311 /* bdnztl */, PPC::gBCL, Convert__imm_95_8__RegCRBITRC1_0__CondBr1_1, 0, { MCK_RegCRBITRC, MCK_CondBr }, }, { 318 /* bdnztla */, PPC::gBCLA, Convert__imm_95_8__RegCRBITRC1_0__CondBr1_1, 0, { MCK_RegCRBITRC, MCK_CondBr }, }, { 326 /* bdnztlr */, PPC::gBCLR, Convert__imm_95_8__RegCRBITRC1_0__imm_95_0, 0, { MCK_RegCRBITRC }, }, { 334 /* bdnztlrl */, PPC::gBCLRL, Convert__imm_95_8__RegCRBITRC1_0__imm_95_0, 0, { MCK_RegCRBITRC }, }, { 343 /* bdz */, PPC::BDZ, Convert__CondBr1_0, 0, { MCK_CondBr }, }, { 347 /* bdz+ */, PPC::BDZp, Convert__CondBr1_0, 0, { MCK_CondBr }, }, { 352 /* bdz- */, PPC::BDZm, Convert__CondBr1_0, 0, { MCK_CondBr }, }, { 357 /* bdza */, PPC::BDZA, Convert__CondBr1_0, 0, { MCK_CondBr }, }, { 362 /* bdza+ */, PPC::BDZAp, Convert__CondBr1_0, 0, { MCK_CondBr }, }, { 368 /* bdza- */, PPC::BDZAm, Convert__CondBr1_0, 0, { MCK_CondBr }, }, { 374 /* bdzf */, PPC::gBC, Convert__imm_95_2__RegCRBITRC1_0__CondBr1_1, 0, { MCK_RegCRBITRC, MCK_CondBr }, }, { 379 /* bdzfa */, PPC::gBCA, Convert__imm_95_2__RegCRBITRC1_0__CondBr1_1, 0, { MCK_RegCRBITRC, MCK_CondBr }, }, { 385 /* bdzfl */, PPC::gBCL, Convert__imm_95_2__RegCRBITRC1_0__CondBr1_1, 0, { MCK_RegCRBITRC, MCK_CondBr }, }, { 391 /* bdzfla */, PPC::gBCLA, Convert__imm_95_2__RegCRBITRC1_0__CondBr1_1, 0, { MCK_RegCRBITRC, MCK_CondBr }, }, { 398 /* bdzflr */, PPC::gBCLR, Convert__imm_95_2__RegCRBITRC1_0__imm_95_0, 0, { MCK_RegCRBITRC }, }, { 405 /* bdzflrl */, PPC::gBCLRL, Convert__imm_95_2__RegCRBITRC1_0__imm_95_0, 0, { MCK_RegCRBITRC }, }, { 413 /* bdzl */, PPC::BDZL, Convert__CondBr1_0, 0, { MCK_CondBr }, }, { 418 /* bdzl+ */, PPC::BDZLp, Convert__CondBr1_0, 0, { MCK_CondBr }, }, { 424 /* bdzl- */, PPC::BDZLm, Convert__CondBr1_0, 0, { MCK_CondBr }, }, { 430 /* bdzla */, PPC::BDZLA, Convert__CondBr1_0, 0, { MCK_CondBr }, }, { 436 /* bdzla+ */, PPC::BDZLAp, Convert__CondBr1_0, 0, { MCK_CondBr }, }, { 443 /* bdzla- */, PPC::BDZLAm, Convert__CondBr1_0, 0, { MCK_CondBr }, }, { 450 /* bdzlr */, PPC::BDZLR, Convert_NoOperands, 0, { }, }, { 456 /* bdzlr+ */, PPC::BDZLRp, Convert_NoOperands, 0, { }, }, { 463 /* bdzlr- */, PPC::BDZLRm, Convert_NoOperands, 0, { }, }, { 470 /* bdzlrl */, PPC::BDZLRL, Convert_NoOperands, 0, { }, }, { 477 /* bdzlrl+ */, PPC::BDZLRLp, Convert_NoOperands, 0, { }, }, { 485 /* bdzlrl- */, PPC::BDZLRLm, Convert_NoOperands, 0, { }, }, { 493 /* bdzt */, PPC::gBC, Convert__imm_95_10__RegCRBITRC1_0__CondBr1_1, 0, { MCK_RegCRBITRC, MCK_CondBr }, }, { 498 /* bdzta */, PPC::gBCA, Convert__imm_95_10__RegCRBITRC1_0__CondBr1_1, 0, { MCK_RegCRBITRC, MCK_CondBr }, }, { 504 /* bdztl */, PPC::gBCL, Convert__imm_95_10__RegCRBITRC1_0__CondBr1_1, 0, { MCK_RegCRBITRC, MCK_CondBr }, }, { 510 /* bdztla */, PPC::gBCLA, Convert__imm_95_10__RegCRBITRC1_0__CondBr1_1, 0, { MCK_RegCRBITRC, MCK_CondBr }, }, { 517 /* bdztlr */, PPC::gBCLR, Convert__imm_95_10__RegCRBITRC1_0__imm_95_0, 0, { MCK_RegCRBITRC }, }, { 524 /* bdztlrl */, PPC::gBCLRL, Convert__imm_95_10__RegCRBITRC1_0__imm_95_0, 0, { MCK_RegCRBITRC }, }, { 532 /* beq */, PPC::BCC, Convert__imm_95_76__regCR0__CondBr1_0, 0, { MCK_CondBr }, }, { 532 /* beq */, PPC::BCC, Convert__imm_95_76__RegCRRC1_0__CondBr1_1, 0, { MCK_RegCRRC, MCK_CondBr }, }, { 536 /* beq+ */, PPC::BCC, Convert__imm_95_79__regCR0__CondBr1_0, 0, { MCK_CondBr }, }, { 536 /* beq+ */, PPC::BCC, Convert__imm_95_79__RegCRRC1_0__CondBr1_1, 0, { MCK_RegCRRC, MCK_CondBr }, }, { 541 /* beq- */, PPC::BCC, Convert__imm_95_78__regCR0__CondBr1_0, 0, { MCK_CondBr }, }, { 541 /* beq- */, PPC::BCC, Convert__imm_95_78__RegCRRC1_0__CondBr1_1, 0, { MCK_RegCRRC, MCK_CondBr }, }, { 546 /* beqa */, PPC::BCCA, Convert__imm_95_76__regCR0__CondBr1_0, 0, { MCK_CondBr }, }, { 546 /* beqa */, PPC::BCCA, Convert__imm_95_76__RegCRRC1_0__CondBr1_1, 0, { MCK_RegCRRC, MCK_CondBr }, }, { 551 /* beqa+ */, PPC::BCCA, Convert__imm_95_79__regCR0__CondBr1_0, 0, { MCK_CondBr }, }, { 551 /* beqa+ */, PPC::BCCA, Convert__imm_95_79__RegCRRC1_0__CondBr1_1, 0, { MCK_RegCRRC, MCK_CondBr }, }, { 557 /* beqa- */, PPC::BCCA, Convert__imm_95_78__regCR0__CondBr1_0, 0, { MCK_CondBr }, }, { 557 /* beqa- */, PPC::BCCA, Convert__imm_95_78__RegCRRC1_0__CondBr1_1, 0, { MCK_RegCRRC, MCK_CondBr }, }, { 563 /* beqctr */, PPC::BCCCTR, Convert__imm_95_76__regCR0, 0, { }, }, { 563 /* beqctr */, PPC::BCCCTR, Convert__imm_95_76__RegCRRC1_0, 0, { MCK_RegCRRC }, }, { 570 /* beqctr+ */, PPC::BCCCTR, Convert__imm_95_79__regCR0, 0, { }, }, { 570 /* beqctr+ */, PPC::BCCCTR, Convert__imm_95_79__RegCRRC1_0, 0, { MCK_RegCRRC }, }, { 578 /* beqctr- */, PPC::BCCCTR, Convert__imm_95_78__regCR0, 0, { }, }, { 578 /* beqctr- */, PPC::BCCCTR, Convert__imm_95_78__RegCRRC1_0, 0, { MCK_RegCRRC }, }, { 586 /* beqctrl */, PPC::BCCCTRL, Convert__imm_95_76__regCR0, 0, { }, }, { 586 /* beqctrl */, PPC::BCCCTRL, Convert__imm_95_76__RegCRRC1_0, 0, { MCK_RegCRRC }, }, { 594 /* beqctrl+ */, PPC::BCCCTRL, Convert__imm_95_79__regCR0, 0, { }, }, { 594 /* beqctrl+ */, PPC::BCCCTRL, Convert__imm_95_79__RegCRRC1_0, 0, { MCK_RegCRRC }, }, { 603 /* beqctrl- */, PPC::BCCCTRL, Convert__imm_95_78__regCR0, 0, { }, }, { 603 /* beqctrl- */, PPC::BCCCTRL, Convert__imm_95_78__RegCRRC1_0, 0, { MCK_RegCRRC }, }, { 612 /* beql */, PPC::BCCL, Convert__imm_95_76__regCR0__CondBr1_0, 0, { MCK_CondBr }, }, { 612 /* beql */, PPC::BCCL, Convert__imm_95_76__RegCRRC1_0__CondBr1_1, 0, { MCK_RegCRRC, MCK_CondBr }, }, { 617 /* beql+ */, PPC::BCCL, Convert__imm_95_79__regCR0__CondBr1_0, 0, { MCK_CondBr }, }, { 617 /* beql+ */, PPC::BCCL, Convert__imm_95_79__RegCRRC1_0__CondBr1_1, 0, { MCK_RegCRRC, MCK_CondBr }, }, { 623 /* beql- */, PPC::BCCL, Convert__imm_95_78__regCR0__CondBr1_0, 0, { MCK_CondBr }, }, { 623 /* beql- */, PPC::BCCL, Convert__imm_95_78__RegCRRC1_0__CondBr1_1, 0, { MCK_RegCRRC, MCK_CondBr }, }, { 629 /* beqla */, PPC::BCCLA, Convert__imm_95_76__regCR0__CondBr1_0, 0, { MCK_CondBr }, }, { 629 /* beqla */, PPC::BCCLA, Convert__imm_95_76__RegCRRC1_0__CondBr1_1, 0, { MCK_RegCRRC, MCK_CondBr }, }, { 635 /* beqla+ */, PPC::BCCLA, Convert__imm_95_79__regCR0__CondBr1_0, 0, { MCK_CondBr }, }, { 635 /* beqla+ */, PPC::BCCLA, Convert__imm_95_79__RegCRRC1_0__CondBr1_1, 0, { MCK_RegCRRC, MCK_CondBr }, }, { 642 /* beqla- */, PPC::BCCLA, Convert__imm_95_78__regCR0__CondBr1_0, 0, { MCK_CondBr }, }, { 642 /* beqla- */, PPC::BCCLA, Convert__imm_95_78__RegCRRC1_0__CondBr1_1, 0, { MCK_RegCRRC, MCK_CondBr }, }, { 649 /* beqlr */, PPC::BCCLR, Convert__imm_95_76__regCR0, 0, { }, }, { 649 /* beqlr */, PPC::BCCLR, Convert__imm_95_76__RegCRRC1_0, 0, { MCK_RegCRRC }, }, { 655 /* beqlr+ */, PPC::BCCLR, Convert__imm_95_79__regCR0, 0, { }, }, { 655 /* beqlr+ */, PPC::BCCLR, Convert__imm_95_79__RegCRRC1_0, 0, { MCK_RegCRRC }, }, { 662 /* beqlr- */, PPC::BCCLR, Convert__imm_95_78__regCR0, 0, { }, }, { 662 /* beqlr- */, PPC::BCCLR, Convert__imm_95_78__RegCRRC1_0, 0, { MCK_RegCRRC }, }, { 669 /* beqlrl */, PPC::BCCLRL, Convert__imm_95_76__regCR0, 0, { }, }, { 669 /* beqlrl */, PPC::BCCLRL, Convert__imm_95_76__RegCRRC1_0, 0, { MCK_RegCRRC }, }, { 676 /* beqlrl+ */, PPC::BCCLRL, Convert__imm_95_79__regCR0, 0, { }, }, { 676 /* beqlrl+ */, PPC::BCCLRL, Convert__imm_95_79__RegCRRC1_0, 0, { MCK_RegCRRC }, }, { 684 /* beqlrl- */, PPC::BCCLRL, Convert__imm_95_78__regCR0, 0, { }, }, { 684 /* beqlrl- */, PPC::BCCLRL, Convert__imm_95_78__RegCRRC1_0, 0, { MCK_RegCRRC }, }, { 692 /* bf */, PPC::gBC, Convert__imm_95_4__RegCRBITRC1_0__CondBr1_1, 0, { MCK_RegCRBITRC, MCK_CondBr }, }, { 695 /* bf+ */, PPC::gBC, Convert__imm_95_7__RegCRBITRC1_0__CondBr1_1, 0, { MCK_RegCRBITRC, MCK_CondBr }, }, { 699 /* bf- */, PPC::gBC, Convert__imm_95_6__RegCRBITRC1_0__CondBr1_1, 0, { MCK_RegCRBITRC, MCK_CondBr }, }, { 703 /* bfa */, PPC::gBCA, Convert__imm_95_4__RegCRBITRC1_0__CondBr1_1, 0, { MCK_RegCRBITRC, MCK_CondBr }, }, { 707 /* bfa+ */, PPC::gBCA, Convert__imm_95_7__RegCRBITRC1_0__CondBr1_1, 0, { MCK_RegCRBITRC, MCK_CondBr }, }, { 712 /* bfa- */, PPC::gBCA, Convert__imm_95_6__RegCRBITRC1_0__CondBr1_1, 0, { MCK_RegCRBITRC, MCK_CondBr }, }, { 717 /* bfctr */, PPC::gBCCTR, Convert__imm_95_4__RegCRBITRC1_0__imm_95_0, 0, { MCK_RegCRBITRC }, }, { 723 /* bfctr+ */, PPC::gBCCTR, Convert__imm_95_7__RegCRBITRC1_0__imm_95_0, 0, { MCK_RegCRBITRC }, }, { 730 /* bfctr- */, PPC::gBCCTR, Convert__imm_95_6__RegCRBITRC1_0__imm_95_0, 0, { MCK_RegCRBITRC }, }, { 737 /* bfctrl */, PPC::gBCCTRL, Convert__imm_95_4__RegCRBITRC1_0__imm_95_0, 0, { MCK_RegCRBITRC }, }, { 744 /* bfctrl+ */, PPC::gBCCTRL, Convert__imm_95_7__RegCRBITRC1_0__imm_95_0, 0, { MCK_RegCRBITRC }, }, { 752 /* bfctrl- */, PPC::gBCCTRL, Convert__imm_95_6__RegCRBITRC1_0__imm_95_0, 0, { MCK_RegCRBITRC }, }, { 760 /* bfl */, PPC::gBCL, Convert__imm_95_4__RegCRBITRC1_0__CondBr1_1, 0, { MCK_RegCRBITRC, MCK_CondBr }, }, { 764 /* bfl+ */, PPC::gBCL, Convert__imm_95_7__RegCRBITRC1_0__CondBr1_1, 0, { MCK_RegCRBITRC, MCK_CondBr }, }, { 769 /* bfl- */, PPC::gBCL, Convert__imm_95_6__RegCRBITRC1_0__CondBr1_1, 0, { MCK_RegCRBITRC, MCK_CondBr }, }, { 774 /* bfla */, PPC::gBCLA, Convert__imm_95_4__RegCRBITRC1_0__CondBr1_1, 0, { MCK_RegCRBITRC, MCK_CondBr }, }, { 779 /* bfla+ */, PPC::gBCLA, Convert__imm_95_7__RegCRBITRC1_0__CondBr1_1, 0, { MCK_RegCRBITRC, MCK_CondBr }, }, { 785 /* bfla- */, PPC::gBCLA, Convert__imm_95_6__RegCRBITRC1_0__CondBr1_1, 0, { MCK_RegCRBITRC, MCK_CondBr }, }, { 791 /* bflr */, PPC::gBCLR, Convert__imm_95_4__RegCRBITRC1_0__imm_95_0, 0, { MCK_RegCRBITRC }, }, { 796 /* bflr+ */, PPC::gBCLR, Convert__imm_95_7__RegCRBITRC1_0__imm_95_0, 0, { MCK_RegCRBITRC }, }, { 802 /* bflr- */, PPC::gBCLR, Convert__imm_95_6__RegCRBITRC1_0__imm_95_0, 0, { MCK_RegCRBITRC }, }, { 808 /* bflrl */, PPC::gBCLRL, Convert__imm_95_4__RegCRBITRC1_0__imm_95_0, 0, { MCK_RegCRBITRC }, }, { 814 /* bflrl+ */, PPC::gBCLRL, Convert__imm_95_7__RegCRBITRC1_0__imm_95_0, 0, { MCK_RegCRBITRC }, }, { 821 /* bflrl- */, PPC::gBCLRL, Convert__imm_95_6__RegCRBITRC1_0__imm_95_0, 0, { MCK_RegCRBITRC }, }, { 828 /* bge */, PPC::BCC, Convert__imm_95_4__regCR0__CondBr1_0, 0, { MCK_CondBr }, }, { 828 /* bge */, PPC::BCC, Convert__imm_95_4__RegCRRC1_0__CondBr1_1, 0, { MCK_RegCRRC, MCK_CondBr }, }, { 832 /* bge+ */, PPC::BCC, Convert__imm_95_7__regCR0__CondBr1_0, 0, { MCK_CondBr }, }, { 832 /* bge+ */, PPC::BCC, Convert__imm_95_7__RegCRRC1_0__CondBr1_1, 0, { MCK_RegCRRC, MCK_CondBr }, }, { 837 /* bge- */, PPC::BCC, Convert__imm_95_6__regCR0__CondBr1_0, 0, { MCK_CondBr }, }, { 837 /* bge- */, PPC::BCC, Convert__imm_95_6__RegCRRC1_0__CondBr1_1, 0, { MCK_RegCRRC, MCK_CondBr }, }, { 842 /* bgea */, PPC::BCCA, Convert__imm_95_4__regCR0__CondBr1_0, 0, { MCK_CondBr }, }, { 842 /* bgea */, PPC::BCCA, Convert__imm_95_4__RegCRRC1_0__CondBr1_1, 0, { MCK_RegCRRC, MCK_CondBr }, }, { 847 /* bgea+ */, PPC::BCCA, Convert__imm_95_7__regCR0__CondBr1_0, 0, { MCK_CondBr }, }, { 847 /* bgea+ */, PPC::BCCA, Convert__imm_95_7__RegCRRC1_0__CondBr1_1, 0, { MCK_RegCRRC, MCK_CondBr }, }, { 853 /* bgea- */, PPC::BCCA, Convert__imm_95_6__regCR0__CondBr1_0, 0, { MCK_CondBr }, }, { 853 /* bgea- */, PPC::BCCA, Convert__imm_95_6__RegCRRC1_0__CondBr1_1, 0, { MCK_RegCRRC, MCK_CondBr }, }, { 859 /* bgectr */, PPC::BCCCTR, Convert__imm_95_4__regCR0, 0, { }, }, { 859 /* bgectr */, PPC::BCCCTR, Convert__imm_95_4__RegCRRC1_0, 0, { MCK_RegCRRC }, }, { 866 /* bgectr+ */, PPC::BCCCTR, Convert__imm_95_7__regCR0, 0, { }, }, { 866 /* bgectr+ */, PPC::BCCCTR, Convert__imm_95_7__RegCRRC1_0, 0, { MCK_RegCRRC }, }, { 874 /* bgectr- */, PPC::BCCCTR, Convert__imm_95_6__regCR0, 0, { }, }, { 874 /* bgectr- */, PPC::BCCCTR, Convert__imm_95_6__RegCRRC1_0, 0, { MCK_RegCRRC }, }, { 882 /* bgectrl */, PPC::BCCCTRL, Convert__imm_95_4__regCR0, 0, { }, }, { 882 /* bgectrl */, PPC::BCCCTRL, Convert__imm_95_4__RegCRRC1_0, 0, { MCK_RegCRRC }, }, { 890 /* bgectrl+ */, PPC::BCCCTRL, Convert__imm_95_7__regCR0, 0, { }, }, { 890 /* bgectrl+ */, PPC::BCCCTRL, Convert__imm_95_7__RegCRRC1_0, 0, { MCK_RegCRRC }, }, { 899 /* bgectrl- */, PPC::BCCCTRL, Convert__imm_95_6__regCR0, 0, { }, }, { 899 /* bgectrl- */, PPC::BCCCTRL, Convert__imm_95_6__RegCRRC1_0, 0, { MCK_RegCRRC }, }, { 908 /* bgel */, PPC::BCCL, Convert__imm_95_4__regCR0__CondBr1_0, 0, { MCK_CondBr }, }, { 908 /* bgel */, PPC::BCCL, Convert__imm_95_4__RegCRRC1_0__CondBr1_1, 0, { MCK_RegCRRC, MCK_CondBr }, }, { 913 /* bgel+ */, PPC::BCCL, Convert__imm_95_7__regCR0__CondBr1_0, 0, { MCK_CondBr }, }, { 913 /* bgel+ */, PPC::BCCL, Convert__imm_95_7__RegCRRC1_0__CondBr1_1, 0, { MCK_RegCRRC, MCK_CondBr }, }, { 919 /* bgel- */, PPC::BCCL, Convert__imm_95_6__regCR0__CondBr1_0, 0, { MCK_CondBr }, }, { 919 /* bgel- */, PPC::BCCL, Convert__imm_95_6__RegCRRC1_0__CondBr1_1, 0, { MCK_RegCRRC, MCK_CondBr }, }, { 925 /* bgela */, PPC::BCCLA, Convert__imm_95_4__regCR0__CondBr1_0, 0, { MCK_CondBr }, }, { 925 /* bgela */, PPC::BCCLA, Convert__imm_95_4__RegCRRC1_0__CondBr1_1, 0, { MCK_RegCRRC, MCK_CondBr }, }, { 931 /* bgela+ */, PPC::BCCLA, Convert__imm_95_7__regCR0__CondBr1_0, 0, { MCK_CondBr }, }, { 931 /* bgela+ */, PPC::BCCLA, Convert__imm_95_7__RegCRRC1_0__CondBr1_1, 0, { MCK_RegCRRC, MCK_CondBr }, }, { 938 /* bgela- */, PPC::BCCLA, Convert__imm_95_6__regCR0__CondBr1_0, 0, { MCK_CondBr }, }, { 938 /* bgela- */, PPC::BCCLA, Convert__imm_95_6__RegCRRC1_0__CondBr1_1, 0, { MCK_RegCRRC, MCK_CondBr }, }, { 945 /* bgelr */, PPC::BCCLR, Convert__imm_95_4__regCR0, 0, { }, }, { 945 /* bgelr */, PPC::BCCLR, Convert__imm_95_4__RegCRRC1_0, 0, { MCK_RegCRRC }, }, { 951 /* bgelr+ */, PPC::BCCLR, Convert__imm_95_7__regCR0, 0, { }, }, { 951 /* bgelr+ */, PPC::BCCLR, Convert__imm_95_7__RegCRRC1_0, 0, { MCK_RegCRRC }, }, { 958 /* bgelr- */, PPC::BCCLR, Convert__imm_95_6__regCR0, 0, { }, }, { 958 /* bgelr- */, PPC::BCCLR, Convert__imm_95_6__RegCRRC1_0, 0, { MCK_RegCRRC }, }, { 965 /* bgelrl */, PPC::BCCLRL, Convert__imm_95_4__regCR0, 0, { }, }, { 965 /* bgelrl */, PPC::BCCLRL, Convert__imm_95_4__RegCRRC1_0, 0, { MCK_RegCRRC }, }, { 972 /* bgelrl+ */, PPC::BCCLRL, Convert__imm_95_7__regCR0, 0, { }, }, { 972 /* bgelrl+ */, PPC::BCCLRL, Convert__imm_95_7__RegCRRC1_0, 0, { MCK_RegCRRC }, }, { 980 /* bgelrl- */, PPC::BCCLRL, Convert__imm_95_6__regCR0, 0, { }, }, { 980 /* bgelrl- */, PPC::BCCLRL, Convert__imm_95_6__RegCRRC1_0, 0, { MCK_RegCRRC }, }, { 988 /* bgt */, PPC::BCC, Convert__imm_95_44__regCR0__CondBr1_0, 0, { MCK_CondBr }, }, { 988 /* bgt */, PPC::BCC, Convert__imm_95_44__RegCRRC1_0__CondBr1_1, 0, { MCK_RegCRRC, MCK_CondBr }, }, { 992 /* bgt+ */, PPC::BCC, Convert__imm_95_47__regCR0__CondBr1_0, 0, { MCK_CondBr }, }, { 992 /* bgt+ */, PPC::BCC, Convert__imm_95_47__RegCRRC1_0__CondBr1_1, 0, { MCK_RegCRRC, MCK_CondBr }, }, { 997 /* bgt- */, PPC::BCC, Convert__imm_95_46__regCR0__CondBr1_0, 0, { MCK_CondBr }, }, { 997 /* bgt- */, PPC::BCC, Convert__imm_95_46__RegCRRC1_0__CondBr1_1, 0, { MCK_RegCRRC, MCK_CondBr }, }, { 1002 /* bgta */, PPC::BCCA, Convert__imm_95_44__regCR0__CondBr1_0, 0, { MCK_CondBr }, }, { 1002 /* bgta */, PPC::BCCA, Convert__imm_95_44__RegCRRC1_0__CondBr1_1, 0, { MCK_RegCRRC, MCK_CondBr }, }, { 1007 /* bgta+ */, PPC::BCCA, Convert__imm_95_47__regCR0__CondBr1_0, 0, { MCK_CondBr }, }, { 1007 /* bgta+ */, PPC::BCCA, Convert__imm_95_47__RegCRRC1_0__CondBr1_1, 0, { MCK_RegCRRC, MCK_CondBr }, }, { 1013 /* bgta- */, PPC::BCCA, Convert__imm_95_46__regCR0__CondBr1_0, 0, { MCK_CondBr }, }, { 1013 /* bgta- */, PPC::BCCA, Convert__imm_95_46__RegCRRC1_0__CondBr1_1, 0, { MCK_RegCRRC, MCK_CondBr }, }, { 1019 /* bgtctr */, PPC::BCCCTR, Convert__imm_95_44__regCR0, 0, { }, }, { 1019 /* bgtctr */, PPC::BCCCTR, Convert__imm_95_44__RegCRRC1_0, 0, { MCK_RegCRRC }, }, { 1026 /* bgtctr+ */, PPC::BCCCTR, Convert__imm_95_47__regCR0, 0, { }, }, { 1026 /* bgtctr+ */, PPC::BCCCTR, Convert__imm_95_47__RegCRRC1_0, 0, { MCK_RegCRRC }, }, { 1034 /* bgtctr- */, PPC::BCCCTR, Convert__imm_95_46__regCR0, 0, { }, }, { 1034 /* bgtctr- */, PPC::BCCCTR, Convert__imm_95_46__RegCRRC1_0, 0, { MCK_RegCRRC }, }, { 1042 /* bgtctrl */, PPC::BCCCTRL, Convert__imm_95_44__regCR0, 0, { }, }, { 1042 /* bgtctrl */, PPC::BCCCTRL, Convert__imm_95_44__RegCRRC1_0, 0, { MCK_RegCRRC }, }, { 1050 /* bgtctrl+ */, PPC::BCCCTRL, Convert__imm_95_47__regCR0, 0, { }, }, { 1050 /* bgtctrl+ */, PPC::BCCCTRL, Convert__imm_95_47__RegCRRC1_0, 0, { MCK_RegCRRC }, }, { 1059 /* bgtctrl- */, PPC::BCCCTRL, Convert__imm_95_46__regCR0, 0, { }, }, { 1059 /* bgtctrl- */, PPC::BCCCTRL, Convert__imm_95_46__RegCRRC1_0, 0, { MCK_RegCRRC }, }, { 1068 /* bgtl */, PPC::BCCL, Convert__imm_95_44__regCR0__CondBr1_0, 0, { MCK_CondBr }, }, { 1068 /* bgtl */, PPC::BCCL, Convert__imm_95_44__RegCRRC1_0__CondBr1_1, 0, { MCK_RegCRRC, MCK_CondBr }, }, { 1073 /* bgtl+ */, PPC::BCCL, Convert__imm_95_47__regCR0__CondBr1_0, 0, { MCK_CondBr }, }, { 1073 /* bgtl+ */, PPC::BCCL, Convert__imm_95_47__RegCRRC1_0__CondBr1_1, 0, { MCK_RegCRRC, MCK_CondBr }, }, { 1079 /* bgtl- */, PPC::BCCL, Convert__imm_95_46__regCR0__CondBr1_0, 0, { MCK_CondBr }, }, { 1079 /* bgtl- */, PPC::BCCL, Convert__imm_95_46__RegCRRC1_0__CondBr1_1, 0, { MCK_RegCRRC, MCK_CondBr }, }, { 1085 /* bgtla */, PPC::BCCLA, Convert__imm_95_44__regCR0__CondBr1_0, 0, { MCK_CondBr }, }, { 1085 /* bgtla */, PPC::BCCLA, Convert__imm_95_44__RegCRRC1_0__CondBr1_1, 0, { MCK_RegCRRC, MCK_CondBr }, }, { 1091 /* bgtla+ */, PPC::BCCLA, Convert__imm_95_47__regCR0__CondBr1_0, 0, { MCK_CondBr }, }, { 1091 /* bgtla+ */, PPC::BCCLA, Convert__imm_95_47__RegCRRC1_0__CondBr1_1, 0, { MCK_RegCRRC, MCK_CondBr }, }, { 1098 /* bgtla- */, PPC::BCCLA, Convert__imm_95_46__regCR0__CondBr1_0, 0, { MCK_CondBr }, }, { 1098 /* bgtla- */, PPC::BCCLA, Convert__imm_95_46__RegCRRC1_0__CondBr1_1, 0, { MCK_RegCRRC, MCK_CondBr }, }, { 1105 /* bgtlr */, PPC::BCCLR, Convert__imm_95_44__regCR0, 0, { }, }, { 1105 /* bgtlr */, PPC::BCCLR, Convert__imm_95_44__RegCRRC1_0, 0, { MCK_RegCRRC }, }, { 1111 /* bgtlr+ */, PPC::BCCLR, Convert__imm_95_47__regCR0, 0, { }, }, { 1111 /* bgtlr+ */, PPC::BCCLR, Convert__imm_95_47__RegCRRC1_0, 0, { MCK_RegCRRC }, }, { 1118 /* bgtlr- */, PPC::BCCLR, Convert__imm_95_46__regCR0, 0, { }, }, { 1118 /* bgtlr- */, PPC::BCCLR, Convert__imm_95_46__RegCRRC1_0, 0, { MCK_RegCRRC }, }, { 1125 /* bgtlrl */, PPC::BCCLRL, Convert__imm_95_44__regCR0, 0, { }, }, { 1125 /* bgtlrl */, PPC::BCCLRL, Convert__imm_95_44__RegCRRC1_0, 0, { MCK_RegCRRC }, }, { 1132 /* bgtlrl+ */, PPC::BCCLRL, Convert__imm_95_47__regCR0, 0, { }, }, { 1132 /* bgtlrl+ */, PPC::BCCLRL, Convert__imm_95_47__RegCRRC1_0, 0, { MCK_RegCRRC }, }, { 1140 /* bgtlrl- */, PPC::BCCLRL, Convert__imm_95_46__regCR0, 0, { }, }, { 1140 /* bgtlrl- */, PPC::BCCLRL, Convert__imm_95_46__RegCRRC1_0, 0, { MCK_RegCRRC }, }, { 1148 /* bl */, PPC::BL, Convert__DirectBr1_0, 0, { MCK_DirectBr }, }, { 1148 /* bl */, PPC::BL8_TLS_, Convert__DirectBr1_0__Imm1_1, 0, { MCK_DirectBr, MCK_Imm }, }, { 1151 /* bla */, PPC::BLA, Convert__DirectBr1_0, 0, { MCK_DirectBr }, }, { 1155 /* ble */, PPC::BCC, Convert__imm_95_36__regCR0__CondBr1_0, 0, { MCK_CondBr }, }, { 1155 /* ble */, PPC::BCC, Convert__imm_95_36__RegCRRC1_0__CondBr1_1, 0, { MCK_RegCRRC, MCK_CondBr }, }, { 1159 /* ble+ */, PPC::BCC, Convert__imm_95_39__regCR0__CondBr1_0, 0, { MCK_CondBr }, }, { 1159 /* ble+ */, PPC::BCC, Convert__imm_95_39__RegCRRC1_0__CondBr1_1, 0, { MCK_RegCRRC, MCK_CondBr }, }, { 1164 /* ble- */, PPC::BCC, Convert__imm_95_38__regCR0__CondBr1_0, 0, { MCK_CondBr }, }, { 1164 /* ble- */, PPC::BCC, Convert__imm_95_38__RegCRRC1_0__CondBr1_1, 0, { MCK_RegCRRC, MCK_CondBr }, }, { 1169 /* blea */, PPC::BCCA, Convert__imm_95_36__regCR0__CondBr1_0, 0, { MCK_CondBr }, }, { 1169 /* blea */, PPC::BCCA, Convert__imm_95_36__RegCRRC1_0__CondBr1_1, 0, { MCK_RegCRRC, MCK_CondBr }, }, { 1174 /* blea+ */, PPC::BCCA, Convert__imm_95_39__regCR0__CondBr1_0, 0, { MCK_CondBr }, }, { 1174 /* blea+ */, PPC::BCCA, Convert__imm_95_39__RegCRRC1_0__CondBr1_1, 0, { MCK_RegCRRC, MCK_CondBr }, }, { 1180 /* blea- */, PPC::BCCA, Convert__imm_95_38__regCR0__CondBr1_0, 0, { MCK_CondBr }, }, { 1180 /* blea- */, PPC::BCCA, Convert__imm_95_38__RegCRRC1_0__CondBr1_1, 0, { MCK_RegCRRC, MCK_CondBr }, }, { 1186 /* blectr */, PPC::BCCCTR, Convert__imm_95_36__regCR0, 0, { }, }, { 1186 /* blectr */, PPC::BCCCTR, Convert__imm_95_36__RegCRRC1_0, 0, { MCK_RegCRRC }, }, { 1193 /* blectr+ */, PPC::BCCCTR, Convert__imm_95_39__regCR0, 0, { }, }, { 1193 /* blectr+ */, PPC::BCCCTR, Convert__imm_95_39__RegCRRC1_0, 0, { MCK_RegCRRC }, }, { 1201 /* blectr- */, PPC::BCCCTR, Convert__imm_95_38__regCR0, 0, { }, }, { 1201 /* blectr- */, PPC::BCCCTR, Convert__imm_95_38__RegCRRC1_0, 0, { MCK_RegCRRC }, }, { 1209 /* blectrl */, PPC::BCCCTRL, Convert__imm_95_36__regCR0, 0, { }, }, { 1209 /* blectrl */, PPC::BCCCTRL, Convert__imm_95_36__RegCRRC1_0, 0, { MCK_RegCRRC }, }, { 1217 /* blectrl+ */, PPC::BCCCTRL, Convert__imm_95_39__regCR0, 0, { }, }, { 1217 /* blectrl+ */, PPC::BCCCTRL, Convert__imm_95_39__RegCRRC1_0, 0, { MCK_RegCRRC }, }, { 1226 /* blectrl- */, PPC::BCCCTRL, Convert__imm_95_38__regCR0, 0, { }, }, { 1226 /* blectrl- */, PPC::BCCCTRL, Convert__imm_95_38__RegCRRC1_0, 0, { MCK_RegCRRC }, }, { 1235 /* blel */, PPC::BCCL, Convert__imm_95_36__regCR0__CondBr1_0, 0, { MCK_CondBr }, }, { 1235 /* blel */, PPC::BCCL, Convert__imm_95_36__RegCRRC1_0__CondBr1_1, 0, { MCK_RegCRRC, MCK_CondBr }, }, { 1240 /* blel+ */, PPC::BCCL, Convert__imm_95_39__regCR0__CondBr1_0, 0, { MCK_CondBr }, }, { 1240 /* blel+ */, PPC::BCCL, Convert__imm_95_39__RegCRRC1_0__CondBr1_1, 0, { MCK_RegCRRC, MCK_CondBr }, }, { 1246 /* blel- */, PPC::BCCL, Convert__imm_95_38__regCR0__CondBr1_0, 0, { MCK_CondBr }, }, { 1246 /* blel- */, PPC::BCCL, Convert__imm_95_38__RegCRRC1_0__CondBr1_1, 0, { MCK_RegCRRC, MCK_CondBr }, }, { 1252 /* blela */, PPC::BCCLA, Convert__imm_95_36__regCR0__CondBr1_0, 0, { MCK_CondBr }, }, { 1252 /* blela */, PPC::BCCLA, Convert__imm_95_36__RegCRRC1_0__CondBr1_1, 0, { MCK_RegCRRC, MCK_CondBr }, }, { 1258 /* blela+ */, PPC::BCCLA, Convert__imm_95_39__regCR0__CondBr1_0, 0, { MCK_CondBr }, }, { 1258 /* blela+ */, PPC::BCCLA, Convert__imm_95_39__RegCRRC1_0__CondBr1_1, 0, { MCK_RegCRRC, MCK_CondBr }, }, { 1265 /* blela- */, PPC::BCCLA, Convert__imm_95_38__regCR0__CondBr1_0, 0, { MCK_CondBr }, }, { 1265 /* blela- */, PPC::BCCLA, Convert__imm_95_38__RegCRRC1_0__CondBr1_1, 0, { MCK_RegCRRC, MCK_CondBr }, }, { 1272 /* blelr */, PPC::BCCLR, Convert__imm_95_36__regCR0, 0, { }, }, { 1272 /* blelr */, PPC::BCCLR, Convert__imm_95_36__RegCRRC1_0, 0, { MCK_RegCRRC }, }, { 1278 /* blelr+ */, PPC::BCCLR, Convert__imm_95_39__regCR0, 0, { }, }, { 1278 /* blelr+ */, PPC::BCCLR, Convert__imm_95_39__RegCRRC1_0, 0, { MCK_RegCRRC }, }, { 1285 /* blelr- */, PPC::BCCLR, Convert__imm_95_38__regCR0, 0, { }, }, { 1285 /* blelr- */, PPC::BCCLR, Convert__imm_95_38__RegCRRC1_0, 0, { MCK_RegCRRC }, }, { 1292 /* blelrl */, PPC::BCCLRL, Convert__imm_95_36__regCR0, 0, { }, }, { 1292 /* blelrl */, PPC::BCCLRL, Convert__imm_95_36__RegCRRC1_0, 0, { MCK_RegCRRC }, }, { 1299 /* blelrl+ */, PPC::BCCLRL, Convert__imm_95_39__regCR0, 0, { }, }, { 1299 /* blelrl+ */, PPC::BCCLRL, Convert__imm_95_39__RegCRRC1_0, 0, { MCK_RegCRRC }, }, { 1307 /* blelrl- */, PPC::BCCLRL, Convert__imm_95_38__regCR0, 0, { }, }, { 1307 /* blelrl- */, PPC::BCCLRL, Convert__imm_95_38__RegCRRC1_0, 0, { MCK_RegCRRC }, }, { 1315 /* blr */, PPC::BLR, Convert_NoOperands, 0, { }, }, { 1319 /* blrl */, PPC::BLRL, Convert_NoOperands, 0, { }, }, { 1324 /* blt */, PPC::BCC, Convert__imm_95_12__regCR0__CondBr1_0, 0, { MCK_CondBr }, }, { 1324 /* blt */, PPC::BCC, Convert__imm_95_12__RegCRRC1_0__CondBr1_1, 0, { MCK_RegCRRC, MCK_CondBr }, }, { 1328 /* blt+ */, PPC::BCC, Convert__imm_95_15__regCR0__CondBr1_0, 0, { MCK_CondBr }, }, { 1328 /* blt+ */, PPC::BCC, Convert__imm_95_15__RegCRRC1_0__CondBr1_1, 0, { MCK_RegCRRC, MCK_CondBr }, }, { 1333 /* blt- */, PPC::BCC, Convert__imm_95_14__regCR0__CondBr1_0, 0, { MCK_CondBr }, }, { 1333 /* blt- */, PPC::BCC, Convert__imm_95_14__RegCRRC1_0__CondBr1_1, 0, { MCK_RegCRRC, MCK_CondBr }, }, { 1338 /* blta */, PPC::BCCA, Convert__imm_95_12__regCR0__CondBr1_0, 0, { MCK_CondBr }, }, { 1338 /* blta */, PPC::BCCA, Convert__imm_95_12__RegCRRC1_0__CondBr1_1, 0, { MCK_RegCRRC, MCK_CondBr }, }, { 1343 /* blta+ */, PPC::BCCA, Convert__imm_95_15__regCR0__CondBr1_0, 0, { MCK_CondBr }, }, { 1343 /* blta+ */, PPC::BCCA, Convert__imm_95_15__RegCRRC1_0__CondBr1_1, 0, { MCK_RegCRRC, MCK_CondBr }, }, { 1349 /* blta- */, PPC::BCCA, Convert__imm_95_14__regCR0__CondBr1_0, 0, { MCK_CondBr }, }, { 1349 /* blta- */, PPC::BCCA, Convert__imm_95_14__RegCRRC1_0__CondBr1_1, 0, { MCK_RegCRRC, MCK_CondBr }, }, { 1355 /* bltctr */, PPC::BCCCTR, Convert__imm_95_12__regCR0, 0, { }, }, { 1355 /* bltctr */, PPC::BCCCTR, Convert__imm_95_12__RegCRRC1_0, 0, { MCK_RegCRRC }, }, { 1362 /* bltctr+ */, PPC::BCCCTR, Convert__imm_95_15__regCR0, 0, { }, }, { 1362 /* bltctr+ */, PPC::BCCCTR, Convert__imm_95_15__RegCRRC1_0, 0, { MCK_RegCRRC }, }, { 1370 /* bltctr- */, PPC::BCCCTR, Convert__imm_95_14__regCR0, 0, { }, }, { 1370 /* bltctr- */, PPC::BCCCTR, Convert__imm_95_14__RegCRRC1_0, 0, { MCK_RegCRRC }, }, { 1378 /* bltctrl */, PPC::BCCCTRL, Convert__imm_95_12__regCR0, 0, { }, }, { 1378 /* bltctrl */, PPC::BCCCTRL, Convert__imm_95_12__RegCRRC1_0, 0, { MCK_RegCRRC }, }, { 1386 /* bltctrl+ */, PPC::BCCCTRL, Convert__imm_95_15__regCR0, 0, { }, }, { 1386 /* bltctrl+ */, PPC::BCCCTRL, Convert__imm_95_15__RegCRRC1_0, 0, { MCK_RegCRRC }, }, { 1395 /* bltctrl- */, PPC::BCCCTRL, Convert__imm_95_14__regCR0, 0, { }, }, { 1395 /* bltctrl- */, PPC::BCCCTRL, Convert__imm_95_14__RegCRRC1_0, 0, { MCK_RegCRRC }, }, { 1404 /* bltl */, PPC::BCCL, Convert__imm_95_12__regCR0__CondBr1_0, 0, { MCK_CondBr }, }, { 1404 /* bltl */, PPC::BCCL, Convert__imm_95_12__RegCRRC1_0__CondBr1_1, 0, { MCK_RegCRRC, MCK_CondBr }, }, { 1409 /* bltl+ */, PPC::BCCL, Convert__imm_95_15__regCR0__CondBr1_0, 0, { MCK_CondBr }, }, { 1409 /* bltl+ */, PPC::BCCL, Convert__imm_95_15__RegCRRC1_0__CondBr1_1, 0, { MCK_RegCRRC, MCK_CondBr }, }, { 1415 /* bltl- */, PPC::BCCL, Convert__imm_95_14__regCR0__CondBr1_0, 0, { MCK_CondBr }, }, { 1415 /* bltl- */, PPC::BCCL, Convert__imm_95_14__RegCRRC1_0__CondBr1_1, 0, { MCK_RegCRRC, MCK_CondBr }, }, { 1421 /* bltla */, PPC::BCCLA, Convert__imm_95_12__regCR0__CondBr1_0, 0, { MCK_CondBr }, }, { 1421 /* bltla */, PPC::BCCLA, Convert__imm_95_12__RegCRRC1_0__CondBr1_1, 0, { MCK_RegCRRC, MCK_CondBr }, }, { 1427 /* bltla+ */, PPC::BCCLA, Convert__imm_95_15__regCR0__CondBr1_0, 0, { MCK_CondBr }, }, { 1427 /* bltla+ */, PPC::BCCLA, Convert__imm_95_15__RegCRRC1_0__CondBr1_1, 0, { MCK_RegCRRC, MCK_CondBr }, }, { 1434 /* bltla- */, PPC::BCCLA, Convert__imm_95_14__regCR0__CondBr1_0, 0, { MCK_CondBr }, }, { 1434 /* bltla- */, PPC::BCCLA, Convert__imm_95_14__RegCRRC1_0__CondBr1_1, 0, { MCK_RegCRRC, MCK_CondBr }, }, { 1441 /* bltlr */, PPC::BCCLR, Convert__imm_95_12__regCR0, 0, { }, }, { 1441 /* bltlr */, PPC::BCCLR, Convert__imm_95_12__RegCRRC1_0, 0, { MCK_RegCRRC }, }, { 1447 /* bltlr+ */, PPC::BCCLR, Convert__imm_95_15__regCR0, 0, { }, }, { 1447 /* bltlr+ */, PPC::BCCLR, Convert__imm_95_15__RegCRRC1_0, 0, { MCK_RegCRRC }, }, { 1454 /* bltlr- */, PPC::BCCLR, Convert__imm_95_14__regCR0, 0, { }, }, { 1454 /* bltlr- */, PPC::BCCLR, Convert__imm_95_14__RegCRRC1_0, 0, { MCK_RegCRRC }, }, { 1461 /* bltlrl */, PPC::BCCLRL, Convert__imm_95_12__regCR0, 0, { }, }, { 1461 /* bltlrl */, PPC::BCCLRL, Convert__imm_95_12__RegCRRC1_0, 0, { MCK_RegCRRC }, }, { 1468 /* bltlrl+ */, PPC::BCCLRL, Convert__imm_95_15__regCR0, 0, { }, }, { 1468 /* bltlrl+ */, PPC::BCCLRL, Convert__imm_95_15__RegCRRC1_0, 0, { MCK_RegCRRC }, }, { 1476 /* bltlrl- */, PPC::BCCLRL, Convert__imm_95_14__regCR0, 0, { }, }, { 1476 /* bltlrl- */, PPC::BCCLRL, Convert__imm_95_14__RegCRRC1_0, 0, { MCK_RegCRRC }, }, { 1484 /* bne */, PPC::BCC, Convert__imm_95_68__regCR0__CondBr1_0, 0, { MCK_CondBr }, }, { 1484 /* bne */, PPC::BCC, Convert__imm_95_68__RegCRRC1_0__CondBr1_1, 0, { MCK_RegCRRC, MCK_CondBr }, }, { 1488 /* bne+ */, PPC::BCC, Convert__imm_95_71__regCR0__CondBr1_0, 0, { MCK_CondBr }, }, { 1488 /* bne+ */, PPC::BCC, Convert__imm_95_71__RegCRRC1_0__CondBr1_1, 0, { MCK_RegCRRC, MCK_CondBr }, }, { 1493 /* bne- */, PPC::BCC, Convert__imm_95_70__regCR0__CondBr1_0, 0, { MCK_CondBr }, }, { 1493 /* bne- */, PPC::BCC, Convert__imm_95_70__RegCRRC1_0__CondBr1_1, 0, { MCK_RegCRRC, MCK_CondBr }, }, { 1498 /* bnea */, PPC::BCCA, Convert__imm_95_68__regCR0__CondBr1_0, 0, { MCK_CondBr }, }, { 1498 /* bnea */, PPC::BCCA, Convert__imm_95_68__RegCRRC1_0__CondBr1_1, 0, { MCK_RegCRRC, MCK_CondBr }, }, { 1503 /* bnea+ */, PPC::BCCA, Convert__imm_95_71__regCR0__CondBr1_0, 0, { MCK_CondBr }, }, { 1503 /* bnea+ */, PPC::BCCA, Convert__imm_95_71__RegCRRC1_0__CondBr1_1, 0, { MCK_RegCRRC, MCK_CondBr }, }, { 1509 /* bnea- */, PPC::BCCA, Convert__imm_95_70__regCR0__CondBr1_0, 0, { MCK_CondBr }, }, { 1509 /* bnea- */, PPC::BCCA, Convert__imm_95_70__RegCRRC1_0__CondBr1_1, 0, { MCK_RegCRRC, MCK_CondBr }, }, { 1515 /* bnectr */, PPC::BCCCTR, Convert__imm_95_68__regCR0, 0, { }, }, { 1515 /* bnectr */, PPC::BCCCTR, Convert__imm_95_68__RegCRRC1_0, 0, { MCK_RegCRRC }, }, { 1522 /* bnectr+ */, PPC::BCCCTR, Convert__imm_95_71__regCR0, 0, { }, }, { 1522 /* bnectr+ */, PPC::BCCCTR, Convert__imm_95_71__RegCRRC1_0, 0, { MCK_RegCRRC }, }, { 1530 /* bnectr- */, PPC::BCCCTR, Convert__imm_95_70__regCR0, 0, { }, }, { 1530 /* bnectr- */, PPC::BCCCTR, Convert__imm_95_70__RegCRRC1_0, 0, { MCK_RegCRRC }, }, { 1538 /* bnectrl */, PPC::BCCCTRL, Convert__imm_95_68__regCR0, 0, { }, }, { 1538 /* bnectrl */, PPC::BCCCTRL, Convert__imm_95_68__RegCRRC1_0, 0, { MCK_RegCRRC }, }, { 1546 /* bnectrl+ */, PPC::BCCCTRL, Convert__imm_95_71__regCR0, 0, { }, }, { 1546 /* bnectrl+ */, PPC::BCCCTRL, Convert__imm_95_71__RegCRRC1_0, 0, { MCK_RegCRRC }, }, { 1555 /* bnectrl- */, PPC::BCCCTRL, Convert__imm_95_70__regCR0, 0, { }, }, { 1555 /* bnectrl- */, PPC::BCCCTRL, Convert__imm_95_70__RegCRRC1_0, 0, { MCK_RegCRRC }, }, { 1564 /* bnel */, PPC::BCCL, Convert__imm_95_68__regCR0__CondBr1_0, 0, { MCK_CondBr }, }, { 1564 /* bnel */, PPC::BCCL, Convert__imm_95_68__RegCRRC1_0__CondBr1_1, 0, { MCK_RegCRRC, MCK_CondBr }, }, { 1569 /* bnel+ */, PPC::BCCL, Convert__imm_95_71__regCR0__CondBr1_0, 0, { MCK_CondBr }, }, { 1569 /* bnel+ */, PPC::BCCL, Convert__imm_95_71__RegCRRC1_0__CondBr1_1, 0, { MCK_RegCRRC, MCK_CondBr }, }, { 1575 /* bnel- */, PPC::BCCL, Convert__imm_95_70__regCR0__CondBr1_0, 0, { MCK_CondBr }, }, { 1575 /* bnel- */, PPC::BCCL, Convert__imm_95_70__RegCRRC1_0__CondBr1_1, 0, { MCK_RegCRRC, MCK_CondBr }, }, { 1581 /* bnela */, PPC::BCCLA, Convert__imm_95_68__regCR0__CondBr1_0, 0, { MCK_CondBr }, }, { 1581 /* bnela */, PPC::BCCLA, Convert__imm_95_68__RegCRRC1_0__CondBr1_1, 0, { MCK_RegCRRC, MCK_CondBr }, }, { 1587 /* bnela+ */, PPC::BCCLA, Convert__imm_95_71__regCR0__CondBr1_0, 0, { MCK_CondBr }, }, { 1587 /* bnela+ */, PPC::BCCLA, Convert__imm_95_71__RegCRRC1_0__CondBr1_1, 0, { MCK_RegCRRC, MCK_CondBr }, }, { 1594 /* bnela- */, PPC::BCCLA, Convert__imm_95_70__regCR0__CondBr1_0, 0, { MCK_CondBr }, }, { 1594 /* bnela- */, PPC::BCCLA, Convert__imm_95_70__RegCRRC1_0__CondBr1_1, 0, { MCK_RegCRRC, MCK_CondBr }, }, { 1601 /* bnelr */, PPC::BCCLR, Convert__imm_95_68__regCR0, 0, { }, }, { 1601 /* bnelr */, PPC::BCCLR, Convert__imm_95_68__RegCRRC1_0, 0, { MCK_RegCRRC }, }, { 1607 /* bnelr+ */, PPC::BCCLR, Convert__imm_95_71__regCR0, 0, { }, }, { 1607 /* bnelr+ */, PPC::BCCLR, Convert__imm_95_71__RegCRRC1_0, 0, { MCK_RegCRRC }, }, { 1614 /* bnelr- */, PPC::BCCLR, Convert__imm_95_70__regCR0, 0, { }, }, { 1614 /* bnelr- */, PPC::BCCLR, Convert__imm_95_70__RegCRRC1_0, 0, { MCK_RegCRRC }, }, { 1621 /* bnelrl */, PPC::BCCLRL, Convert__imm_95_68__regCR0, 0, { }, }, { 1621 /* bnelrl */, PPC::BCCLRL, Convert__imm_95_68__RegCRRC1_0, 0, { MCK_RegCRRC }, }, { 1628 /* bnelrl+ */, PPC::BCCLRL, Convert__imm_95_71__regCR0, 0, { }, }, { 1628 /* bnelrl+ */, PPC::BCCLRL, Convert__imm_95_71__RegCRRC1_0, 0, { MCK_RegCRRC }, }, { 1636 /* bnelrl- */, PPC::BCCLRL, Convert__imm_95_70__regCR0, 0, { }, }, { 1636 /* bnelrl- */, PPC::BCCLRL, Convert__imm_95_70__RegCRRC1_0, 0, { MCK_RegCRRC }, }, { 1644 /* bng */, PPC::BCC, Convert__imm_95_36__regCR0__CondBr1_0, 0, { MCK_CondBr }, }, { 1644 /* bng */, PPC::BCC, Convert__imm_95_36__RegCRRC1_0__CondBr1_1, 0, { MCK_RegCRRC, MCK_CondBr }, }, { 1648 /* bng+ */, PPC::BCC, Convert__imm_95_39__regCR0__CondBr1_0, 0, { MCK_CondBr }, }, { 1648 /* bng+ */, PPC::BCC, Convert__imm_95_39__RegCRRC1_0__CondBr1_1, 0, { MCK_RegCRRC, MCK_CondBr }, }, { 1653 /* bng- */, PPC::BCC, Convert__imm_95_38__regCR0__CondBr1_0, 0, { MCK_CondBr }, }, { 1653 /* bng- */, PPC::BCC, Convert__imm_95_38__RegCRRC1_0__CondBr1_1, 0, { MCK_RegCRRC, MCK_CondBr }, }, { 1658 /* bnga */, PPC::BCCA, Convert__imm_95_36__regCR0__CondBr1_0, 0, { MCK_CondBr }, }, { 1658 /* bnga */, PPC::BCCA, Convert__imm_95_36__RegCRRC1_0__CondBr1_1, 0, { MCK_RegCRRC, MCK_CondBr }, }, { 1663 /* bnga+ */, PPC::BCCA, Convert__imm_95_39__regCR0__CondBr1_0, 0, { MCK_CondBr }, }, { 1663 /* bnga+ */, PPC::BCCA, Convert__imm_95_39__RegCRRC1_0__CondBr1_1, 0, { MCK_RegCRRC, MCK_CondBr }, }, { 1669 /* bnga- */, PPC::BCCA, Convert__imm_95_38__regCR0__CondBr1_0, 0, { MCK_CondBr }, }, { 1669 /* bnga- */, PPC::BCCA, Convert__imm_95_38__RegCRRC1_0__CondBr1_1, 0, { MCK_RegCRRC, MCK_CondBr }, }, { 1675 /* bngctr */, PPC::BCCCTR, Convert__imm_95_36__regCR0, 0, { }, }, { 1675 /* bngctr */, PPC::BCCCTR, Convert__imm_95_36__RegCRRC1_0, 0, { MCK_RegCRRC }, }, { 1682 /* bngctr+ */, PPC::BCCCTR, Convert__imm_95_39__regCR0, 0, { }, }, { 1682 /* bngctr+ */, PPC::BCCCTR, Convert__imm_95_39__RegCRRC1_0, 0, { MCK_RegCRRC }, }, { 1690 /* bngctr- */, PPC::BCCCTR, Convert__imm_95_38__regCR0, 0, { }, }, { 1690 /* bngctr- */, PPC::BCCCTR, Convert__imm_95_38__RegCRRC1_0, 0, { MCK_RegCRRC }, }, { 1698 /* bngctrl */, PPC::BCCCTRL, Convert__imm_95_36__regCR0, 0, { }, }, { 1698 /* bngctrl */, PPC::BCCCTRL, Convert__imm_95_36__RegCRRC1_0, 0, { MCK_RegCRRC }, }, { 1706 /* bngctrl+ */, PPC::BCCCTRL, Convert__imm_95_39__regCR0, 0, { }, }, { 1706 /* bngctrl+ */, PPC::BCCCTRL, Convert__imm_95_39__RegCRRC1_0, 0, { MCK_RegCRRC }, }, { 1715 /* bngctrl- */, PPC::BCCCTRL, Convert__imm_95_38__regCR0, 0, { }, }, { 1715 /* bngctrl- */, PPC::BCCCTRL, Convert__imm_95_38__RegCRRC1_0, 0, { MCK_RegCRRC }, }, { 1724 /* bngl */, PPC::BCCL, Convert__imm_95_36__regCR0__CondBr1_0, 0, { MCK_CondBr }, }, { 1724 /* bngl */, PPC::BCCL, Convert__imm_95_36__RegCRRC1_0__CondBr1_1, 0, { MCK_RegCRRC, MCK_CondBr }, }, { 1729 /* bngl+ */, PPC::BCCL, Convert__imm_95_39__regCR0__CondBr1_0, 0, { MCK_CondBr }, }, { 1729 /* bngl+ */, PPC::BCCL, Convert__imm_95_39__RegCRRC1_0__CondBr1_1, 0, { MCK_RegCRRC, MCK_CondBr }, }, { 1735 /* bngl- */, PPC::BCCL, Convert__imm_95_38__regCR0__CondBr1_0, 0, { MCK_CondBr }, }, { 1735 /* bngl- */, PPC::BCCL, Convert__imm_95_38__RegCRRC1_0__CondBr1_1, 0, { MCK_RegCRRC, MCK_CondBr }, }, { 1741 /* bngla */, PPC::BCCLA, Convert__imm_95_36__regCR0__CondBr1_0, 0, { MCK_CondBr }, }, { 1741 /* bngla */, PPC::BCCLA, Convert__imm_95_36__RegCRRC1_0__CondBr1_1, 0, { MCK_RegCRRC, MCK_CondBr }, }, { 1747 /* bngla+ */, PPC::BCCLA, Convert__imm_95_39__regCR0__CondBr1_0, 0, { MCK_CondBr }, }, { 1747 /* bngla+ */, PPC::BCCLA, Convert__imm_95_39__RegCRRC1_0__CondBr1_1, 0, { MCK_RegCRRC, MCK_CondBr }, }, { 1754 /* bngla- */, PPC::BCCLA, Convert__imm_95_38__regCR0__CondBr1_0, 0, { MCK_CondBr }, }, { 1754 /* bngla- */, PPC::BCCLA, Convert__imm_95_38__RegCRRC1_0__CondBr1_1, 0, { MCK_RegCRRC, MCK_CondBr }, }, { 1761 /* bnglr */, PPC::BCCLR, Convert__imm_95_36__regCR0, 0, { }, }, { 1761 /* bnglr */, PPC::BCCLR, Convert__imm_95_36__RegCRRC1_0, 0, { MCK_RegCRRC }, }, { 1767 /* bnglr+ */, PPC::BCCLR, Convert__imm_95_39__regCR0, 0, { }, }, { 1767 /* bnglr+ */, PPC::BCCLR, Convert__imm_95_39__RegCRRC1_0, 0, { MCK_RegCRRC }, }, { 1774 /* bnglr- */, PPC::BCCLR, Convert__imm_95_38__regCR0, 0, { }, }, { 1774 /* bnglr- */, PPC::BCCLR, Convert__imm_95_38__RegCRRC1_0, 0, { MCK_RegCRRC }, }, { 1781 /* bnglrl */, PPC::BCCLRL, Convert__imm_95_36__regCR0, 0, { }, }, { 1781 /* bnglrl */, PPC::BCCLRL, Convert__imm_95_36__RegCRRC1_0, 0, { MCK_RegCRRC }, }, { 1788 /* bnglrl+ */, PPC::BCCLRL, Convert__imm_95_39__regCR0, 0, { }, }, { 1788 /* bnglrl+ */, PPC::BCCLRL, Convert__imm_95_39__RegCRRC1_0, 0, { MCK_RegCRRC }, }, { 1796 /* bnglrl- */, PPC::BCCLRL, Convert__imm_95_38__regCR0, 0, { }, }, { 1796 /* bnglrl- */, PPC::BCCLRL, Convert__imm_95_38__RegCRRC1_0, 0, { MCK_RegCRRC }, }, { 1804 /* bnl */, PPC::BCC, Convert__imm_95_4__regCR0__CondBr1_0, 0, { MCK_CondBr }, }, { 1804 /* bnl */, PPC::BCC, Convert__imm_95_4__RegCRRC1_0__CondBr1_1, 0, { MCK_RegCRRC, MCK_CondBr }, }, { 1808 /* bnl+ */, PPC::BCC, Convert__imm_95_7__regCR0__CondBr1_0, 0, { MCK_CondBr }, }, { 1808 /* bnl+ */, PPC::BCC, Convert__imm_95_7__RegCRRC1_0__CondBr1_1, 0, { MCK_RegCRRC, MCK_CondBr }, }, { 1813 /* bnl- */, PPC::BCC, Convert__imm_95_6__regCR0__CondBr1_0, 0, { MCK_CondBr }, }, { 1813 /* bnl- */, PPC::BCC, Convert__imm_95_6__RegCRRC1_0__CondBr1_1, 0, { MCK_RegCRRC, MCK_CondBr }, }, { 1818 /* bnla */, PPC::BCCA, Convert__imm_95_4__regCR0__CondBr1_0, 0, { MCK_CondBr }, }, { 1818 /* bnla */, PPC::BCCA, Convert__imm_95_4__RegCRRC1_0__CondBr1_1, 0, { MCK_RegCRRC, MCK_CondBr }, }, { 1823 /* bnla+ */, PPC::BCCA, Convert__imm_95_7__regCR0__CondBr1_0, 0, { MCK_CondBr }, }, { 1823 /* bnla+ */, PPC::BCCA, Convert__imm_95_7__RegCRRC1_0__CondBr1_1, 0, { MCK_RegCRRC, MCK_CondBr }, }, { 1829 /* bnla- */, PPC::BCCA, Convert__imm_95_6__regCR0__CondBr1_0, 0, { MCK_CondBr }, }, { 1829 /* bnla- */, PPC::BCCA, Convert__imm_95_6__RegCRRC1_0__CondBr1_1, 0, { MCK_RegCRRC, MCK_CondBr }, }, { 1835 /* bnlctr */, PPC::BCCCTR, Convert__imm_95_4__regCR0, 0, { }, }, { 1835 /* bnlctr */, PPC::BCCCTR, Convert__imm_95_4__RegCRRC1_0, 0, { MCK_RegCRRC }, }, { 1842 /* bnlctr+ */, PPC::BCCCTR, Convert__imm_95_7__regCR0, 0, { }, }, { 1842 /* bnlctr+ */, PPC::BCCCTR, Convert__imm_95_7__RegCRRC1_0, 0, { MCK_RegCRRC }, }, { 1850 /* bnlctr- */, PPC::BCCCTR, Convert__imm_95_6__regCR0, 0, { }, }, { 1850 /* bnlctr- */, PPC::BCCCTR, Convert__imm_95_6__RegCRRC1_0, 0, { MCK_RegCRRC }, }, { 1858 /* bnlctrl */, PPC::BCCCTRL, Convert__imm_95_4__regCR0, 0, { }, }, { 1858 /* bnlctrl */, PPC::BCCCTRL, Convert__imm_95_4__RegCRRC1_0, 0, { MCK_RegCRRC }, }, { 1866 /* bnlctrl+ */, PPC::BCCCTRL, Convert__imm_95_7__regCR0, 0, { }, }, { 1866 /* bnlctrl+ */, PPC::BCCCTRL, Convert__imm_95_7__RegCRRC1_0, 0, { MCK_RegCRRC }, }, { 1875 /* bnlctrl- */, PPC::BCCCTRL, Convert__imm_95_6__regCR0, 0, { }, }, { 1875 /* bnlctrl- */, PPC::BCCCTRL, Convert__imm_95_6__RegCRRC1_0, 0, { MCK_RegCRRC }, }, { 1884 /* bnll */, PPC::BCCL, Convert__imm_95_4__regCR0__CondBr1_0, 0, { MCK_CondBr }, }, { 1884 /* bnll */, PPC::BCCL, Convert__imm_95_4__RegCRRC1_0__CondBr1_1, 0, { MCK_RegCRRC, MCK_CondBr }, }, { 1889 /* bnll+ */, PPC::BCCL, Convert__imm_95_7__regCR0__CondBr1_0, 0, { MCK_CondBr }, }, { 1889 /* bnll+ */, PPC::BCCL, Convert__imm_95_7__RegCRRC1_0__CondBr1_1, 0, { MCK_RegCRRC, MCK_CondBr }, }, { 1895 /* bnll- */, PPC::BCCL, Convert__imm_95_6__regCR0__CondBr1_0, 0, { MCK_CondBr }, }, { 1895 /* bnll- */, PPC::BCCL, Convert__imm_95_6__RegCRRC1_0__CondBr1_1, 0, { MCK_RegCRRC, MCK_CondBr }, }, { 1901 /* bnlla */, PPC::BCCLA, Convert__imm_95_4__regCR0__CondBr1_0, 0, { MCK_CondBr }, }, { 1901 /* bnlla */, PPC::BCCLA, Convert__imm_95_4__RegCRRC1_0__CondBr1_1, 0, { MCK_RegCRRC, MCK_CondBr }, }, { 1907 /* bnlla+ */, PPC::BCCLA, Convert__imm_95_7__regCR0__CondBr1_0, 0, { MCK_CondBr }, }, { 1907 /* bnlla+ */, PPC::BCCLA, Convert__imm_95_7__RegCRRC1_0__CondBr1_1, 0, { MCK_RegCRRC, MCK_CondBr }, }, { 1914 /* bnlla- */, PPC::BCCLA, Convert__imm_95_6__regCR0__CondBr1_0, 0, { MCK_CondBr }, }, { 1914 /* bnlla- */, PPC::BCCLA, Convert__imm_95_6__RegCRRC1_0__CondBr1_1, 0, { MCK_RegCRRC, MCK_CondBr }, }, { 1921 /* bnllr */, PPC::BCCLR, Convert__imm_95_4__regCR0, 0, { }, }, { 1921 /* bnllr */, PPC::BCCLR, Convert__imm_95_4__RegCRRC1_0, 0, { MCK_RegCRRC }, }, { 1927 /* bnllr+ */, PPC::BCCLR, Convert__imm_95_7__regCR0, 0, { }, }, { 1927 /* bnllr+ */, PPC::BCCLR, Convert__imm_95_7__RegCRRC1_0, 0, { MCK_RegCRRC }, }, { 1934 /* bnllr- */, PPC::BCCLR, Convert__imm_95_6__regCR0, 0, { }, }, { 1934 /* bnllr- */, PPC::BCCLR, Convert__imm_95_6__RegCRRC1_0, 0, { MCK_RegCRRC }, }, { 1941 /* bnllrl */, PPC::BCCLRL, Convert__imm_95_4__regCR0, 0, { }, }, { 1941 /* bnllrl */, PPC::BCCLRL, Convert__imm_95_4__RegCRRC1_0, 0, { MCK_RegCRRC }, }, { 1948 /* bnllrl+ */, PPC::BCCLRL, Convert__imm_95_7__regCR0, 0, { }, }, { 1948 /* bnllrl+ */, PPC::BCCLRL, Convert__imm_95_7__RegCRRC1_0, 0, { MCK_RegCRRC }, }, { 1956 /* bnllrl- */, PPC::BCCLRL, Convert__imm_95_6__regCR0, 0, { }, }, { 1956 /* bnllrl- */, PPC::BCCLRL, Convert__imm_95_6__RegCRRC1_0, 0, { MCK_RegCRRC }, }, { 1964 /* bns */, PPC::BCC, Convert__imm_95_100__regCR0__CondBr1_0, 0, { MCK_CondBr }, }, { 1964 /* bns */, PPC::BCC, Convert__imm_95_100__RegCRRC1_0__CondBr1_1, 0, { MCK_RegCRRC, MCK_CondBr }, }, { 1968 /* bns+ */, PPC::BCC, Convert__imm_95_103__regCR0__CondBr1_0, 0, { MCK_CondBr }, }, { 1968 /* bns+ */, PPC::BCC, Convert__imm_95_103__RegCRRC1_0__CondBr1_1, 0, { MCK_RegCRRC, MCK_CondBr }, }, { 1973 /* bns- */, PPC::BCC, Convert__imm_95_102__regCR0__CondBr1_0, 0, { MCK_CondBr }, }, { 1973 /* bns- */, PPC::BCC, Convert__imm_95_102__RegCRRC1_0__CondBr1_1, 0, { MCK_RegCRRC, MCK_CondBr }, }, { 1978 /* bnsa */, PPC::BCCA, Convert__imm_95_100__regCR0__CondBr1_0, 0, { MCK_CondBr }, }, { 1978 /* bnsa */, PPC::BCCA, Convert__imm_95_100__RegCRRC1_0__CondBr1_1, 0, { MCK_RegCRRC, MCK_CondBr }, }, { 1983 /* bnsa+ */, PPC::BCCA, Convert__imm_95_103__regCR0__CondBr1_0, 0, { MCK_CondBr }, }, { 1983 /* bnsa+ */, PPC::BCCA, Convert__imm_95_103__RegCRRC1_0__CondBr1_1, 0, { MCK_RegCRRC, MCK_CondBr }, }, { 1989 /* bnsa- */, PPC::BCCA, Convert__imm_95_102__regCR0__CondBr1_0, 0, { MCK_CondBr }, }, { 1989 /* bnsa- */, PPC::BCCA, Convert__imm_95_102__RegCRRC1_0__CondBr1_1, 0, { MCK_RegCRRC, MCK_CondBr }, }, { 1995 /* bnsctr */, PPC::BCCCTR, Convert__imm_95_100__regCR0, 0, { }, }, { 1995 /* bnsctr */, PPC::BCCCTR, Convert__imm_95_100__RegCRRC1_0, 0, { MCK_RegCRRC }, }, { 2002 /* bnsctr+ */, PPC::BCCCTR, Convert__imm_95_103__regCR0, 0, { }, }, { 2002 /* bnsctr+ */, PPC::BCCCTR, Convert__imm_95_103__RegCRRC1_0, 0, { MCK_RegCRRC }, }, { 2010 /* bnsctr- */, PPC::BCCCTR, Convert__imm_95_102__regCR0, 0, { }, }, { 2010 /* bnsctr- */, PPC::BCCCTR, Convert__imm_95_102__RegCRRC1_0, 0, { MCK_RegCRRC }, }, { 2018 /* bnsctrl */, PPC::BCCCTRL, Convert__imm_95_100__regCR0, 0, { }, }, { 2018 /* bnsctrl */, PPC::BCCCTRL, Convert__imm_95_100__RegCRRC1_0, 0, { MCK_RegCRRC }, }, { 2026 /* bnsctrl+ */, PPC::BCCCTRL, Convert__imm_95_103__regCR0, 0, { }, }, { 2026 /* bnsctrl+ */, PPC::BCCCTRL, Convert__imm_95_103__RegCRRC1_0, 0, { MCK_RegCRRC }, }, { 2035 /* bnsctrl- */, PPC::BCCCTRL, Convert__imm_95_102__regCR0, 0, { }, }, { 2035 /* bnsctrl- */, PPC::BCCCTRL, Convert__imm_95_102__RegCRRC1_0, 0, { MCK_RegCRRC }, }, { 2044 /* bnsl */, PPC::BCCL, Convert__imm_95_100__regCR0__CondBr1_0, 0, { MCK_CondBr }, }, { 2044 /* bnsl */, PPC::BCCL, Convert__imm_95_100__RegCRRC1_0__CondBr1_1, 0, { MCK_RegCRRC, MCK_CondBr }, }, { 2049 /* bnsl+ */, PPC::BCCL, Convert__imm_95_103__regCR0__CondBr1_0, 0, { MCK_CondBr }, }, { 2049 /* bnsl+ */, PPC::BCCL, Convert__imm_95_103__RegCRRC1_0__CondBr1_1, 0, { MCK_RegCRRC, MCK_CondBr }, }, { 2055 /* bnsl- */, PPC::BCCL, Convert__imm_95_102__regCR0__CondBr1_0, 0, { MCK_CondBr }, }, { 2055 /* bnsl- */, PPC::BCCL, Convert__imm_95_102__RegCRRC1_0__CondBr1_1, 0, { MCK_RegCRRC, MCK_CondBr }, }, { 2061 /* bnsla */, PPC::BCCLA, Convert__imm_95_100__regCR0__CondBr1_0, 0, { MCK_CondBr }, }, { 2061 /* bnsla */, PPC::BCCLA, Convert__imm_95_100__RegCRRC1_0__CondBr1_1, 0, { MCK_RegCRRC, MCK_CondBr }, }, { 2067 /* bnsla+ */, PPC::BCCLA, Convert__imm_95_103__regCR0__CondBr1_0, 0, { MCK_CondBr }, }, { 2067 /* bnsla+ */, PPC::BCCLA, Convert__imm_95_103__RegCRRC1_0__CondBr1_1, 0, { MCK_RegCRRC, MCK_CondBr }, }, { 2074 /* bnsla- */, PPC::BCCLA, Convert__imm_95_102__regCR0__CondBr1_0, 0, { MCK_CondBr }, }, { 2074 /* bnsla- */, PPC::BCCLA, Convert__imm_95_102__RegCRRC1_0__CondBr1_1, 0, { MCK_RegCRRC, MCK_CondBr }, }, { 2081 /* bnslr */, PPC::BCCLR, Convert__imm_95_100__regCR0, 0, { }, }, { 2081 /* bnslr */, PPC::BCCLR, Convert__imm_95_100__RegCRRC1_0, 0, { MCK_RegCRRC }, }, { 2087 /* bnslr+ */, PPC::BCCLR, Convert__imm_95_103__regCR0, 0, { }, }, { 2087 /* bnslr+ */, PPC::BCCLR, Convert__imm_95_103__RegCRRC1_0, 0, { MCK_RegCRRC }, }, { 2094 /* bnslr- */, PPC::BCCLR, Convert__imm_95_102__regCR0, 0, { }, }, { 2094 /* bnslr- */, PPC::BCCLR, Convert__imm_95_102__RegCRRC1_0, 0, { MCK_RegCRRC }, }, { 2101 /* bnslrl */, PPC::BCCLRL, Convert__imm_95_100__regCR0, 0, { }, }, { 2101 /* bnslrl */, PPC::BCCLRL, Convert__imm_95_100__RegCRRC1_0, 0, { MCK_RegCRRC }, }, { 2108 /* bnslrl+ */, PPC::BCCLRL, Convert__imm_95_103__regCR0, 0, { }, }, { 2108 /* bnslrl+ */, PPC::BCCLRL, Convert__imm_95_103__RegCRRC1_0, 0, { MCK_RegCRRC }, }, { 2116 /* bnslrl- */, PPC::BCCLRL, Convert__imm_95_102__regCR0, 0, { }, }, { 2116 /* bnslrl- */, PPC::BCCLRL, Convert__imm_95_102__RegCRRC1_0, 0, { MCK_RegCRRC }, }, { 2124 /* bnu */, PPC::BCC, Convert__imm_95_100__regCR0__CondBr1_0, 0, { MCK_CondBr }, }, { 2124 /* bnu */, PPC::BCC, Convert__imm_95_100__RegCRRC1_0__CondBr1_1, 0, { MCK_RegCRRC, MCK_CondBr }, }, { 2128 /* bnu+ */, PPC::BCC, Convert__imm_95_103__regCR0__CondBr1_0, 0, { MCK_CondBr }, }, { 2128 /* bnu+ */, PPC::BCC, Convert__imm_95_103__RegCRRC1_0__CondBr1_1, 0, { MCK_RegCRRC, MCK_CondBr }, }, { 2133 /* bnu- */, PPC::BCC, Convert__imm_95_102__regCR0__CondBr1_0, 0, { MCK_CondBr }, }, { 2133 /* bnu- */, PPC::BCC, Convert__imm_95_102__RegCRRC1_0__CondBr1_1, 0, { MCK_RegCRRC, MCK_CondBr }, }, { 2138 /* bnua */, PPC::BCCA, Convert__imm_95_100__regCR0__CondBr1_0, 0, { MCK_CondBr }, }, { 2138 /* bnua */, PPC::BCCA, Convert__imm_95_100__RegCRRC1_0__CondBr1_1, 0, { MCK_RegCRRC, MCK_CondBr }, }, { 2143 /* bnua+ */, PPC::BCCA, Convert__imm_95_103__regCR0__CondBr1_0, 0, { MCK_CondBr }, }, { 2143 /* bnua+ */, PPC::BCCA, Convert__imm_95_103__RegCRRC1_0__CondBr1_1, 0, { MCK_RegCRRC, MCK_CondBr }, }, { 2149 /* bnua- */, PPC::BCCA, Convert__imm_95_102__regCR0__CondBr1_0, 0, { MCK_CondBr }, }, { 2149 /* bnua- */, PPC::BCCA, Convert__imm_95_102__RegCRRC1_0__CondBr1_1, 0, { MCK_RegCRRC, MCK_CondBr }, }, { 2155 /* bnuctr */, PPC::BCCCTR, Convert__imm_95_100__regCR0, 0, { }, }, { 2155 /* bnuctr */, PPC::BCCCTR, Convert__imm_95_100__RegCRRC1_0, 0, { MCK_RegCRRC }, }, { 2162 /* bnuctr+ */, PPC::BCCCTR, Convert__imm_95_103__regCR0, 0, { }, }, { 2162 /* bnuctr+ */, PPC::BCCCTR, Convert__imm_95_103__RegCRRC1_0, 0, { MCK_RegCRRC }, }, { 2170 /* bnuctr- */, PPC::BCCCTR, Convert__imm_95_102__regCR0, 0, { }, }, { 2170 /* bnuctr- */, PPC::BCCCTR, Convert__imm_95_102__RegCRRC1_0, 0, { MCK_RegCRRC }, }, { 2178 /* bnuctrl */, PPC::BCCCTRL, Convert__imm_95_100__regCR0, 0, { }, }, { 2178 /* bnuctrl */, PPC::BCCCTRL, Convert__imm_95_100__RegCRRC1_0, 0, { MCK_RegCRRC }, }, { 2186 /* bnuctrl+ */, PPC::BCCCTRL, Convert__imm_95_103__regCR0, 0, { }, }, { 2186 /* bnuctrl+ */, PPC::BCCCTRL, Convert__imm_95_103__RegCRRC1_0, 0, { MCK_RegCRRC }, }, { 2195 /* bnuctrl- */, PPC::BCCCTRL, Convert__imm_95_102__regCR0, 0, { }, }, { 2195 /* bnuctrl- */, PPC::BCCCTRL, Convert__imm_95_102__RegCRRC1_0, 0, { MCK_RegCRRC }, }, { 2204 /* bnul */, PPC::BCCL, Convert__imm_95_100__regCR0__CondBr1_0, 0, { MCK_CondBr }, }, { 2204 /* bnul */, PPC::BCCL, Convert__imm_95_100__RegCRRC1_0__CondBr1_1, 0, { MCK_RegCRRC, MCK_CondBr }, }, { 2209 /* bnul+ */, PPC::BCCL, Convert__imm_95_103__regCR0__CondBr1_0, 0, { MCK_CondBr }, }, { 2209 /* bnul+ */, PPC::BCCL, Convert__imm_95_103__RegCRRC1_0__CondBr1_1, 0, { MCK_RegCRRC, MCK_CondBr }, }, { 2215 /* bnul- */, PPC::BCCL, Convert__imm_95_102__regCR0__CondBr1_0, 0, { MCK_CondBr }, }, { 2215 /* bnul- */, PPC::BCCL, Convert__imm_95_102__RegCRRC1_0__CondBr1_1, 0, { MCK_RegCRRC, MCK_CondBr }, }, { 2221 /* bnula */, PPC::BCCLA, Convert__imm_95_100__regCR0__CondBr1_0, 0, { MCK_CondBr }, }, { 2221 /* bnula */, PPC::BCCLA, Convert__imm_95_100__RegCRRC1_0__CondBr1_1, 0, { MCK_RegCRRC, MCK_CondBr }, }, { 2227 /* bnula+ */, PPC::BCCLA, Convert__imm_95_103__regCR0__CondBr1_0, 0, { MCK_CondBr }, }, { 2227 /* bnula+ */, PPC::BCCLA, Convert__imm_95_103__RegCRRC1_0__CondBr1_1, 0, { MCK_RegCRRC, MCK_CondBr }, }, { 2234 /* bnula- */, PPC::BCCLA, Convert__imm_95_102__regCR0__CondBr1_0, 0, { MCK_CondBr }, }, { 2234 /* bnula- */, PPC::BCCLA, Convert__imm_95_102__RegCRRC1_0__CondBr1_1, 0, { MCK_RegCRRC, MCK_CondBr }, }, { 2241 /* bnulr */, PPC::BCCLR, Convert__imm_95_100__regCR0, 0, { }, }, { 2241 /* bnulr */, PPC::BCCLR, Convert__imm_95_100__RegCRRC1_0, 0, { MCK_RegCRRC }, }, { 2247 /* bnulr+ */, PPC::BCCLR, Convert__imm_95_103__regCR0, 0, { }, }, { 2247 /* bnulr+ */, PPC::BCCLR, Convert__imm_95_103__RegCRRC1_0, 0, { MCK_RegCRRC }, }, { 2254 /* bnulr- */, PPC::BCCLR, Convert__imm_95_102__regCR0, 0, { }, }, { 2254 /* bnulr- */, PPC::BCCLR, Convert__imm_95_102__RegCRRC1_0, 0, { MCK_RegCRRC }, }, { 2261 /* bnulrl */, PPC::BCCLRL, Convert__imm_95_100__regCR0, 0, { }, }, { 2261 /* bnulrl */, PPC::BCCLRL, Convert__imm_95_100__RegCRRC1_0, 0, { MCK_RegCRRC }, }, { 2268 /* bnulrl+ */, PPC::BCCLRL, Convert__imm_95_103__regCR0, 0, { }, }, { 2268 /* bnulrl+ */, PPC::BCCLRL, Convert__imm_95_103__RegCRRC1_0, 0, { MCK_RegCRRC }, }, { 2276 /* bnulrl- */, PPC::BCCLRL, Convert__imm_95_102__regCR0, 0, { }, }, { 2276 /* bnulrl- */, PPC::BCCLRL, Convert__imm_95_102__RegCRRC1_0, 0, { MCK_RegCRRC }, }, { 2284 /* bpermd */, PPC::BPERMD, Convert__RegG8RC1_0__RegG8RC1_1__RegG8RC1_2, 0, { MCK_RegG8RC, MCK_RegG8RC, MCK_RegG8RC }, }, { 2291 /* brinc */, PPC::BRINC, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, }, { 2297 /* bso */, PPC::BCC, Convert__imm_95_108__regCR0__CondBr1_0, 0, { MCK_CondBr }, }, { 2297 /* bso */, PPC::BCC, Convert__imm_95_108__RegCRRC1_0__CondBr1_1, 0, { MCK_RegCRRC, MCK_CondBr }, }, { 2301 /* bso+ */, PPC::BCC, Convert__imm_95_111__regCR0__CondBr1_0, 0, { MCK_CondBr }, }, { 2301 /* bso+ */, PPC::BCC, Convert__imm_95_111__RegCRRC1_0__CondBr1_1, 0, { MCK_RegCRRC, MCK_CondBr }, }, { 2306 /* bso- */, PPC::BCC, Convert__imm_95_110__regCR0__CondBr1_0, 0, { MCK_CondBr }, }, { 2306 /* bso- */, PPC::BCC, Convert__imm_95_110__RegCRRC1_0__CondBr1_1, 0, { MCK_RegCRRC, MCK_CondBr }, }, { 2311 /* bsoa */, PPC::BCCA, Convert__imm_95_108__regCR0__CondBr1_0, 0, { MCK_CondBr }, }, { 2311 /* bsoa */, PPC::BCCA, Convert__imm_95_108__RegCRRC1_0__CondBr1_1, 0, { MCK_RegCRRC, MCK_CondBr }, }, { 2316 /* bsoa+ */, PPC::BCCA, Convert__imm_95_111__regCR0__CondBr1_0, 0, { MCK_CondBr }, }, { 2316 /* bsoa+ */, PPC::BCCA, Convert__imm_95_111__RegCRRC1_0__CondBr1_1, 0, { MCK_RegCRRC, MCK_CondBr }, }, { 2322 /* bsoa- */, PPC::BCCA, Convert__imm_95_110__regCR0__CondBr1_0, 0, { MCK_CondBr }, }, { 2322 /* bsoa- */, PPC::BCCA, Convert__imm_95_110__RegCRRC1_0__CondBr1_1, 0, { MCK_RegCRRC, MCK_CondBr }, }, { 2328 /* bsoctr */, PPC::BCCCTR, Convert__imm_95_108__regCR0, 0, { }, }, { 2328 /* bsoctr */, PPC::BCCCTR, Convert__imm_95_108__RegCRRC1_0, 0, { MCK_RegCRRC }, }, { 2335 /* bsoctr+ */, PPC::BCCCTR, Convert__imm_95_111__regCR0, 0, { }, }, { 2335 /* bsoctr+ */, PPC::BCCCTR, Convert__imm_95_111__RegCRRC1_0, 0, { MCK_RegCRRC }, }, { 2343 /* bsoctr- */, PPC::BCCCTR, Convert__imm_95_110__regCR0, 0, { }, }, { 2343 /* bsoctr- */, PPC::BCCCTR, Convert__imm_95_110__RegCRRC1_0, 0, { MCK_RegCRRC }, }, { 2351 /* bsoctrl */, PPC::BCCCTRL, Convert__imm_95_108__regCR0, 0, { }, }, { 2351 /* bsoctrl */, PPC::BCCCTRL, Convert__imm_95_108__RegCRRC1_0, 0, { MCK_RegCRRC }, }, { 2359 /* bsoctrl+ */, PPC::BCCCTRL, Convert__imm_95_111__regCR0, 0, { }, }, { 2359 /* bsoctrl+ */, PPC::BCCCTRL, Convert__imm_95_111__RegCRRC1_0, 0, { MCK_RegCRRC }, }, { 2368 /* bsoctrl- */, PPC::BCCCTRL, Convert__imm_95_110__regCR0, 0, { }, }, { 2368 /* bsoctrl- */, PPC::BCCCTRL, Convert__imm_95_110__RegCRRC1_0, 0, { MCK_RegCRRC }, }, { 2377 /* bsol */, PPC::BCCL, Convert__imm_95_108__regCR0__CondBr1_0, 0, { MCK_CondBr }, }, { 2377 /* bsol */, PPC::BCCL, Convert__imm_95_108__RegCRRC1_0__CondBr1_1, 0, { MCK_RegCRRC, MCK_CondBr }, }, { 2382 /* bsol+ */, PPC::BCCL, Convert__imm_95_111__regCR0__CondBr1_0, 0, { MCK_CondBr }, }, { 2382 /* bsol+ */, PPC::BCCL, Convert__imm_95_111__RegCRRC1_0__CondBr1_1, 0, { MCK_RegCRRC, MCK_CondBr }, }, { 2388 /* bsol- */, PPC::BCCL, Convert__imm_95_110__regCR0__CondBr1_0, 0, { MCK_CondBr }, }, { 2388 /* bsol- */, PPC::BCCL, Convert__imm_95_110__RegCRRC1_0__CondBr1_1, 0, { MCK_RegCRRC, MCK_CondBr }, }, { 2394 /* bsola */, PPC::BCCLA, Convert__imm_95_108__regCR0__CondBr1_0, 0, { MCK_CondBr }, }, { 2394 /* bsola */, PPC::BCCLA, Convert__imm_95_108__RegCRRC1_0__CondBr1_1, 0, { MCK_RegCRRC, MCK_CondBr }, }, { 2400 /* bsola+ */, PPC::BCCLA, Convert__imm_95_111__regCR0__CondBr1_0, 0, { MCK_CondBr }, }, { 2400 /* bsola+ */, PPC::BCCLA, Convert__imm_95_111__RegCRRC1_0__CondBr1_1, 0, { MCK_RegCRRC, MCK_CondBr }, }, { 2407 /* bsola- */, PPC::BCCLA, Convert__imm_95_110__regCR0__CondBr1_0, 0, { MCK_CondBr }, }, { 2407 /* bsola- */, PPC::BCCLA, Convert__imm_95_110__RegCRRC1_0__CondBr1_1, 0, { MCK_RegCRRC, MCK_CondBr }, }, { 2414 /* bsolr */, PPC::BCCLR, Convert__imm_95_108__regCR0, 0, { }, }, { 2414 /* bsolr */, PPC::BCCLR, Convert__imm_95_108__RegCRRC1_0, 0, { MCK_RegCRRC }, }, { 2420 /* bsolr+ */, PPC::BCCLR, Convert__imm_95_111__regCR0, 0, { }, }, { 2420 /* bsolr+ */, PPC::BCCLR, Convert__imm_95_111__RegCRRC1_0, 0, { MCK_RegCRRC }, }, { 2427 /* bsolr- */, PPC::BCCLR, Convert__imm_95_110__regCR0, 0, { }, }, { 2427 /* bsolr- */, PPC::BCCLR, Convert__imm_95_110__RegCRRC1_0, 0, { MCK_RegCRRC }, }, { 2434 /* bsolrl */, PPC::BCCLRL, Convert__imm_95_108__regCR0, 0, { }, }, { 2434 /* bsolrl */, PPC::BCCLRL, Convert__imm_95_108__RegCRRC1_0, 0, { MCK_RegCRRC }, }, { 2441 /* bsolrl+ */, PPC::BCCLRL, Convert__imm_95_111__regCR0, 0, { }, }, { 2441 /* bsolrl+ */, PPC::BCCLRL, Convert__imm_95_111__RegCRRC1_0, 0, { MCK_RegCRRC }, }, { 2449 /* bsolrl- */, PPC::BCCLRL, Convert__imm_95_110__regCR0, 0, { }, }, { 2449 /* bsolrl- */, PPC::BCCLRL, Convert__imm_95_110__RegCRRC1_0, 0, { MCK_RegCRRC }, }, { 2457 /* bt */, PPC::gBC, Convert__imm_95_12__RegCRBITRC1_0__CondBr1_1, 0, { MCK_RegCRBITRC, MCK_CondBr }, }, { 2460 /* bt+ */, PPC::gBC, Convert__imm_95_15__RegCRBITRC1_0__CondBr1_1, 0, { MCK_RegCRBITRC, MCK_CondBr }, }, { 2464 /* bt- */, PPC::gBC, Convert__imm_95_14__RegCRBITRC1_0__CondBr1_1, 0, { MCK_RegCRBITRC, MCK_CondBr }, }, { 2468 /* bta */, PPC::gBCA, Convert__imm_95_12__RegCRBITRC1_0__CondBr1_1, 0, { MCK_RegCRBITRC, MCK_CondBr }, }, { 2472 /* bta+ */, PPC::gBCA, Convert__imm_95_15__RegCRBITRC1_0__CondBr1_1, 0, { MCK_RegCRBITRC, MCK_CondBr }, }, { 2477 /* bta- */, PPC::gBCA, Convert__imm_95_14__RegCRBITRC1_0__CondBr1_1, 0, { MCK_RegCRBITRC, MCK_CondBr }, }, { 2482 /* btctr */, PPC::gBCCTR, Convert__imm_95_12__RegCRBITRC1_0__imm_95_0, 0, { MCK_RegCRBITRC }, }, { 2488 /* btctr+ */, PPC::gBCCTR, Convert__imm_95_15__RegCRBITRC1_0__imm_95_0, 0, { MCK_RegCRBITRC }, }, { 2495 /* btctr- */, PPC::gBCCTR, Convert__imm_95_14__RegCRBITRC1_0__imm_95_0, 0, { MCK_RegCRBITRC }, }, { 2502 /* btctrl */, PPC::gBCCTRL, Convert__imm_95_12__RegCRBITRC1_0__imm_95_0, 0, { MCK_RegCRBITRC }, }, { 2509 /* btctrl+ */, PPC::gBCCTRL, Convert__imm_95_15__RegCRBITRC1_0__imm_95_0, 0, { MCK_RegCRBITRC }, }, { 2517 /* btctrl- */, PPC::gBCCTRL, Convert__imm_95_14__RegCRBITRC1_0__imm_95_0, 0, { MCK_RegCRBITRC }, }, { 2525 /* btl */, PPC::gBCL, Convert__imm_95_12__RegCRBITRC1_0__CondBr1_1, 0, { MCK_RegCRBITRC, MCK_CondBr }, }, { 2529 /* btl+ */, PPC::gBCL, Convert__imm_95_15__RegCRBITRC1_0__CondBr1_1, 0, { MCK_RegCRBITRC, MCK_CondBr }, }, { 2534 /* btl- */, PPC::gBCL, Convert__imm_95_14__RegCRBITRC1_0__CondBr1_1, 0, { MCK_RegCRBITRC, MCK_CondBr }, }, { 2539 /* btla */, PPC::gBCLA, Convert__imm_95_12__RegCRBITRC1_0__CondBr1_1, 0, { MCK_RegCRBITRC, MCK_CondBr }, }, { 2544 /* btla+ */, PPC::gBCLA, Convert__imm_95_15__RegCRBITRC1_0__CondBr1_1, 0, { MCK_RegCRBITRC, MCK_CondBr }, }, { 2550 /* btla- */, PPC::gBCLA, Convert__imm_95_14__RegCRBITRC1_0__CondBr1_1, 0, { MCK_RegCRBITRC, MCK_CondBr }, }, { 2556 /* btlr */, PPC::gBCLR, Convert__imm_95_12__RegCRBITRC1_0__imm_95_0, 0, { MCK_RegCRBITRC }, }, { 2561 /* btlr+ */, PPC::gBCLR, Convert__imm_95_15__RegCRBITRC1_0__imm_95_0, 0, { MCK_RegCRBITRC }, }, { 2567 /* btlr- */, PPC::gBCLR, Convert__imm_95_14__RegCRBITRC1_0__imm_95_0, 0, { MCK_RegCRBITRC }, }, { 2573 /* btlrl */, PPC::gBCLRL, Convert__imm_95_12__RegCRBITRC1_0__imm_95_0, 0, { MCK_RegCRBITRC }, }, { 2579 /* btlrl+ */, PPC::gBCLRL, Convert__imm_95_15__RegCRBITRC1_0__imm_95_0, 0, { MCK_RegCRBITRC }, }, { 2586 /* btlrl- */, PPC::gBCLRL, Convert__imm_95_14__RegCRBITRC1_0__imm_95_0, 0, { MCK_RegCRBITRC }, }, { 2593 /* bun */, PPC::BCC, Convert__imm_95_108__regCR0__CondBr1_0, 0, { MCK_CondBr }, }, { 2593 /* bun */, PPC::BCC, Convert__imm_95_108__RegCRRC1_0__CondBr1_1, 0, { MCK_RegCRRC, MCK_CondBr }, }, { 2597 /* bun+ */, PPC::BCC, Convert__imm_95_111__regCR0__CondBr1_0, 0, { MCK_CondBr }, }, { 2597 /* bun+ */, PPC::BCC, Convert__imm_95_111__RegCRRC1_0__CondBr1_1, 0, { MCK_RegCRRC, MCK_CondBr }, }, { 2602 /* bun- */, PPC::BCC, Convert__imm_95_110__regCR0__CondBr1_0, 0, { MCK_CondBr }, }, { 2602 /* bun- */, PPC::BCC, Convert__imm_95_110__RegCRRC1_0__CondBr1_1, 0, { MCK_RegCRRC, MCK_CondBr }, }, { 2607 /* buna */, PPC::BCCA, Convert__imm_95_108__regCR0__CondBr1_0, 0, { MCK_CondBr }, }, { 2607 /* buna */, PPC::BCCA, Convert__imm_95_108__RegCRRC1_0__CondBr1_1, 0, { MCK_RegCRRC, MCK_CondBr }, }, { 2612 /* buna+ */, PPC::BCCA, Convert__imm_95_111__regCR0__CondBr1_0, 0, { MCK_CondBr }, }, { 2612 /* buna+ */, PPC::BCCA, Convert__imm_95_111__RegCRRC1_0__CondBr1_1, 0, { MCK_RegCRRC, MCK_CondBr }, }, { 2618 /* buna- */, PPC::BCCA, Convert__imm_95_110__regCR0__CondBr1_0, 0, { MCK_CondBr }, }, { 2618 /* buna- */, PPC::BCCA, Convert__imm_95_110__RegCRRC1_0__CondBr1_1, 0, { MCK_RegCRRC, MCK_CondBr }, }, { 2624 /* bunctr */, PPC::BCCCTR, Convert__imm_95_108__regCR0, 0, { }, }, { 2624 /* bunctr */, PPC::BCCCTR, Convert__imm_95_108__RegCRRC1_0, 0, { MCK_RegCRRC }, }, { 2631 /* bunctr+ */, PPC::BCCCTR, Convert__imm_95_111__regCR0, 0, { }, }, { 2631 /* bunctr+ */, PPC::BCCCTR, Convert__imm_95_111__RegCRRC1_0, 0, { MCK_RegCRRC }, }, { 2639 /* bunctr- */, PPC::BCCCTR, Convert__imm_95_110__regCR0, 0, { }, }, { 2639 /* bunctr- */, PPC::BCCCTR, Convert__imm_95_110__RegCRRC1_0, 0, { MCK_RegCRRC }, }, { 2647 /* bunctrl */, PPC::BCCCTRL, Convert__imm_95_108__regCR0, 0, { }, }, { 2647 /* bunctrl */, PPC::BCCCTRL, Convert__imm_95_108__RegCRRC1_0, 0, { MCK_RegCRRC }, }, { 2655 /* bunctrl+ */, PPC::BCCCTRL, Convert__imm_95_111__regCR0, 0, { }, }, { 2655 /* bunctrl+ */, PPC::BCCCTRL, Convert__imm_95_111__RegCRRC1_0, 0, { MCK_RegCRRC }, }, { 2664 /* bunctrl- */, PPC::BCCCTRL, Convert__imm_95_110__regCR0, 0, { }, }, { 2664 /* bunctrl- */, PPC::BCCCTRL, Convert__imm_95_110__RegCRRC1_0, 0, { MCK_RegCRRC }, }, { 2673 /* bunl */, PPC::BCCL, Convert__imm_95_108__regCR0__CondBr1_0, 0, { MCK_CondBr }, }, { 2673 /* bunl */, PPC::BCCL, Convert__imm_95_108__RegCRRC1_0__CondBr1_1, 0, { MCK_RegCRRC, MCK_CondBr }, }, { 2678 /* bunl+ */, PPC::BCCL, Convert__imm_95_111__regCR0__CondBr1_0, 0, { MCK_CondBr }, }, { 2678 /* bunl+ */, PPC::BCCL, Convert__imm_95_111__RegCRRC1_0__CondBr1_1, 0, { MCK_RegCRRC, MCK_CondBr }, }, { 2684 /* bunl- */, PPC::BCCL, Convert__imm_95_110__regCR0__CondBr1_0, 0, { MCK_CondBr }, }, { 2684 /* bunl- */, PPC::BCCL, Convert__imm_95_110__RegCRRC1_0__CondBr1_1, 0, { MCK_RegCRRC, MCK_CondBr }, }, { 2690 /* bunla */, PPC::BCCLA, Convert__imm_95_108__regCR0__CondBr1_0, 0, { MCK_CondBr }, }, { 2690 /* bunla */, PPC::BCCLA, Convert__imm_95_108__RegCRRC1_0__CondBr1_1, 0, { MCK_RegCRRC, MCK_CondBr }, }, { 2696 /* bunla+ */, PPC::BCCLA, Convert__imm_95_111__regCR0__CondBr1_0, 0, { MCK_CondBr }, }, { 2696 /* bunla+ */, PPC::BCCLA, Convert__imm_95_111__RegCRRC1_0__CondBr1_1, 0, { MCK_RegCRRC, MCK_CondBr }, }, { 2703 /* bunla- */, PPC::BCCLA, Convert__imm_95_110__regCR0__CondBr1_0, 0, { MCK_CondBr }, }, { 2703 /* bunla- */, PPC::BCCLA, Convert__imm_95_110__RegCRRC1_0__CondBr1_1, 0, { MCK_RegCRRC, MCK_CondBr }, }, { 2710 /* bunlr */, PPC::BCCLR, Convert__imm_95_108__regCR0, 0, { }, }, { 2710 /* bunlr */, PPC::BCCLR, Convert__imm_95_108__RegCRRC1_0, 0, { MCK_RegCRRC }, }, { 2716 /* bunlr+ */, PPC::BCCLR, Convert__imm_95_111__regCR0, 0, { }, }, { 2716 /* bunlr+ */, PPC::BCCLR, Convert__imm_95_111__RegCRRC1_0, 0, { MCK_RegCRRC }, }, { 2723 /* bunlr- */, PPC::BCCLR, Convert__imm_95_110__regCR0, 0, { }, }, { 2723 /* bunlr- */, PPC::BCCLR, Convert__imm_95_110__RegCRRC1_0, 0, { MCK_RegCRRC }, }, { 2730 /* bunlrl */, PPC::BCCLRL, Convert__imm_95_108__regCR0, 0, { }, }, { 2730 /* bunlrl */, PPC::BCCLRL, Convert__imm_95_108__RegCRRC1_0, 0, { MCK_RegCRRC }, }, { 2737 /* bunlrl+ */, PPC::BCCLRL, Convert__imm_95_111__regCR0, 0, { }, }, { 2737 /* bunlrl+ */, PPC::BCCLRL, Convert__imm_95_111__RegCRRC1_0, 0, { MCK_RegCRRC }, }, { 2745 /* bunlrl- */, PPC::BCCLRL, Convert__imm_95_110__regCR0, 0, { }, }, { 2745 /* bunlrl- */, PPC::BCCLRL, Convert__imm_95_110__RegCRRC1_0, 0, { MCK_RegCRRC }, }, { 2753 /* clrbhrb */, PPC::CLRBHRB, Convert_NoOperands, 0, { }, }, { 2761 /* clrldi */, PPC::RLDICL, Convert__RegG8RC1_0__RegG8RC1_1__imm_95_0__U6Imm1_2, 0, { MCK_RegG8RC, MCK_RegG8RC, MCK_U6Imm }, }, { 2761 /* clrldi */, PPC::RLDICLo, Convert__RegG8RC1_1__RegG8RC1_2__imm_95_0__U6Imm1_3, 0, { MCK__DOT_, MCK_RegG8RC, MCK_RegG8RC, MCK_U6Imm }, }, { 2768 /* clrlsldi */, PPC::CLRLSLDI, Convert__RegG8RC1_0__RegG8RC1_1__U6Imm1_2__U6Imm1_3, 0, { MCK_RegG8RC, MCK_RegG8RC, MCK_U6Imm, MCK_U6Imm }, }, { 2768 /* clrlsldi */, PPC::CLRLSLDIo, Convert__RegG8RC1_1__RegG8RC1_2__U6Imm1_3__U6Imm1_4, 0, { MCK__DOT_, MCK_RegG8RC, MCK_RegG8RC, MCK_U6Imm, MCK_U6Imm }, }, { 2777 /* clrlslwi */, PPC::CLRLSLWI, Convert__RegGPRC1_0__RegGPRC1_1__U5Imm1_2__U5Imm1_3, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_U5Imm, MCK_U5Imm }, }, { 2777 /* clrlslwi */, PPC::CLRLSLWIo, Convert__RegGPRC1_1__RegGPRC1_2__U5Imm1_3__U5Imm1_4, 0, { MCK__DOT_, MCK_RegGPRC, MCK_RegGPRC, MCK_U5Imm, MCK_U5Imm }, }, { 2786 /* clrlwi */, PPC::RLWINM, Convert__RegGPRC1_0__RegGPRC1_1__imm_95_0__U5Imm1_2__imm_95_31, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_U5Imm }, }, { 2786 /* clrlwi */, PPC::RLWINMo, Convert__RegGPRC1_1__RegGPRC1_2__imm_95_0__U5Imm1_3__imm_95_31, 0, { MCK__DOT_, MCK_RegGPRC, MCK_RegGPRC, MCK_U5Imm }, }, { 2793 /* clrrdi */, PPC::CLRRDI, Convert__RegG8RC1_0__RegG8RC1_1__U6Imm1_2, 0, { MCK_RegG8RC, MCK_RegG8RC, MCK_U6Imm }, }, { 2793 /* clrrdi */, PPC::CLRRDIo, Convert__RegG8RC1_1__RegG8RC1_2__U6Imm1_3, 0, { MCK__DOT_, MCK_RegG8RC, MCK_RegG8RC, MCK_U6Imm }, }, { 2800 /* clrrwi */, PPC::CLRRWI, Convert__RegGPRC1_0__RegGPRC1_1__U5Imm1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_U5Imm }, }, { 2800 /* clrrwi */, PPC::CLRRWIo, Convert__RegGPRC1_1__RegGPRC1_2__U5Imm1_3, 0, { MCK__DOT_, MCK_RegGPRC, MCK_RegGPRC, MCK_U5Imm }, }, { 2807 /* cmp */, PPC::CMPW, Convert__RegCRRC1_0__RegGPRC1_2__RegGPRC1_3, 0, { MCK_RegCRRC, MCK_0, MCK_RegGPRC, MCK_RegGPRC }, }, { 2807 /* cmp */, PPC::CMPD, Convert__RegCRRC1_0__RegG8RC1_2__RegG8RC1_3, 0, { MCK_RegCRRC, MCK_1, MCK_RegG8RC, MCK_RegG8RC }, }, { 2811 /* cmpb */, PPC::CMPB, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, }, { 2816 /* cmpd */, PPC::CMPD, Convert__regCR0__RegG8RC1_0__RegG8RC1_1, 0, { MCK_RegG8RC, MCK_RegG8RC }, }, { 2816 /* cmpd */, PPC::CMPD, Convert__RegCRRC1_0__RegG8RC1_1__RegG8RC1_2, 0, { MCK_RegCRRC, MCK_RegG8RC, MCK_RegG8RC }, }, { 2821 /* cmpdi */, PPC::CMPDI, Convert__regCR0__RegG8RC1_0__S16Imm1_1, 0, { MCK_RegG8RC, MCK_S16Imm }, }, { 2821 /* cmpdi */, PPC::CMPDI, Convert__RegCRRC1_0__RegG8RC1_1__S16Imm1_2, 0, { MCK_RegCRRC, MCK_RegG8RC, MCK_S16Imm }, }, { 2827 /* cmpi */, PPC::CMPWI, Convert__RegCRRC1_0__RegGPRC1_2__S16Imm1_3, 0, { MCK_RegCRRC, MCK_0, MCK_RegGPRC, MCK_S16Imm }, }, { 2827 /* cmpi */, PPC::CMPDI, Convert__RegCRRC1_0__RegG8RC1_2__S16Imm1_3, 0, { MCK_RegCRRC, MCK_1, MCK_RegG8RC, MCK_S16Imm }, }, { 2832 /* cmpl */, PPC::CMPLW, Convert__RegCRRC1_0__RegGPRC1_2__RegGPRC1_3, 0, { MCK_RegCRRC, MCK_0, MCK_RegGPRC, MCK_RegGPRC }, }, { 2832 /* cmpl */, PPC::CMPLD, Convert__RegCRRC1_0__RegG8RC1_2__RegG8RC1_3, 0, { MCK_RegCRRC, MCK_1, MCK_RegG8RC, MCK_RegG8RC }, }, { 2837 /* cmpld */, PPC::CMPLD, Convert__regCR0__RegG8RC1_0__RegG8RC1_1, 0, { MCK_RegG8RC, MCK_RegG8RC }, }, { 2837 /* cmpld */, PPC::CMPLD, Convert__RegCRRC1_0__RegG8RC1_1__RegG8RC1_2, 0, { MCK_RegCRRC, MCK_RegG8RC, MCK_RegG8RC }, }, { 2843 /* cmpldi */, PPC::CMPLDI, Convert__regCR0__RegG8RC1_0__U16Imm1_1, 0, { MCK_RegG8RC, MCK_U16Imm }, }, { 2843 /* cmpldi */, PPC::CMPLDI, Convert__RegCRRC1_0__RegG8RC1_1__U16Imm1_2, 0, { MCK_RegCRRC, MCK_RegG8RC, MCK_U16Imm }, }, { 2850 /* cmpli */, PPC::CMPLWI, Convert__RegCRRC1_0__RegGPRC1_2__U16Imm1_3, 0, { MCK_RegCRRC, MCK_0, MCK_RegGPRC, MCK_U16Imm }, }, { 2850 /* cmpli */, PPC::CMPLDI, Convert__RegCRRC1_0__RegG8RC1_2__U16Imm1_3, 0, { MCK_RegCRRC, MCK_1, MCK_RegG8RC, MCK_U16Imm }, }, { 2856 /* cmplw */, PPC::CMPLW, Convert__regCR0__RegGPRC1_0__RegGPRC1_1, 0, { MCK_RegGPRC, MCK_RegGPRC }, }, { 2856 /* cmplw */, PPC::CMPLW, Convert__RegCRRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegCRRC, MCK_RegGPRC, MCK_RegGPRC }, }, { 2862 /* cmplwi */, PPC::CMPLWI, Convert__regCR0__RegGPRC1_0__U16Imm1_1, 0, { MCK_RegGPRC, MCK_U16Imm }, }, { 2862 /* cmplwi */, PPC::CMPLWI, Convert__RegCRRC1_0__RegGPRC1_1__U16Imm1_2, 0, { MCK_RegCRRC, MCK_RegGPRC, MCK_U16Imm }, }, { 2869 /* cmpw */, PPC::CMPW, Convert__regCR0__RegGPRC1_0__RegGPRC1_1, 0, { MCK_RegGPRC, MCK_RegGPRC }, }, { 2869 /* cmpw */, PPC::CMPW, Convert__RegCRRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegCRRC, MCK_RegGPRC, MCK_RegGPRC }, }, { 2874 /* cmpwi */, PPC::CMPWI, Convert__regCR0__RegGPRC1_0__S16Imm1_1, 0, { MCK_RegGPRC, MCK_S16Imm }, }, { 2874 /* cmpwi */, PPC::CMPWI, Convert__RegCRRC1_0__RegGPRC1_1__S16Imm1_2, 0, { MCK_RegCRRC, MCK_RegGPRC, MCK_S16Imm }, }, { 2880 /* cntlzd */, PPC::CNTLZD, Convert__RegG8RC1_0__RegG8RC1_1, 0, { MCK_RegG8RC, MCK_RegG8RC }, }, { 2880 /* cntlzd */, PPC::CNTLZDo, Convert__RegG8RC1_1__RegG8RC1_2, 0, { MCK__DOT_, MCK_RegG8RC, MCK_RegG8RC }, }, { 2887 /* cntlzw */, PPC::CNTLZW, Convert__RegGPRC1_0__RegGPRC1_1, 0, { MCK_RegGPRC, MCK_RegGPRC }, }, { 2887 /* cntlzw */, PPC::CNTLZW, Convert__RegGPRC1_0__RegGPRC1_1, 0, { MCK_RegGPRC, MCK_RegGPRC }, }, { 2887 /* cntlzw */, PPC::CNTLZWo, Convert__RegGPRC1_1__RegGPRC1_2, 0, { MCK__DOT_, MCK_RegGPRC, MCK_RegGPRC }, }, { 2887 /* cntlzw */, PPC::CNTLZWo, Convert__RegGPRC1_1__RegGPRC1_2, 0, { MCK__DOT_, MCK_RegGPRC, MCK_RegGPRC }, }, { 2894 /* crand */, PPC::CRAND, Convert__RegCRBITRC1_0__RegCRBITRC1_1__RegCRBITRC1_2, 0, { MCK_RegCRBITRC, MCK_RegCRBITRC, MCK_RegCRBITRC }, }, { 2900 /* crandc */, PPC::CRANDC, Convert__RegCRBITRC1_0__RegCRBITRC1_1__RegCRBITRC1_2, 0, { MCK_RegCRBITRC, MCK_RegCRBITRC, MCK_RegCRBITRC }, }, { 2907 /* crclr */, PPC::CRXOR, Convert__RegCRBITRC1_0__RegCRBITRC1_0__RegCRBITRC1_0, 0, { MCK_RegCRBITRC }, }, { 2913 /* creqv */, PPC::CREQV, Convert__RegCRBITRC1_0__RegCRBITRC1_1__RegCRBITRC1_2, 0, { MCK_RegCRBITRC, MCK_RegCRBITRC, MCK_RegCRBITRC }, }, { 2919 /* crmove */, PPC::CROR, Convert__RegCRBITRC1_0__RegCRBITRC1_1__RegCRBITRC1_1, 0, { MCK_RegCRBITRC, MCK_RegCRBITRC }, }, { 2926 /* crnand */, PPC::CRNAND, Convert__RegCRBITRC1_0__RegCRBITRC1_1__RegCRBITRC1_2, 0, { MCK_RegCRBITRC, MCK_RegCRBITRC, MCK_RegCRBITRC }, }, { 2933 /* crnor */, PPC::CRNOR, Convert__RegCRBITRC1_0__RegCRBITRC1_1__RegCRBITRC1_2, 0, { MCK_RegCRBITRC, MCK_RegCRBITRC, MCK_RegCRBITRC }, }, { 2939 /* crnot */, PPC::CRNOR, Convert__RegCRBITRC1_0__RegCRBITRC1_1__RegCRBITRC1_1, 0, { MCK_RegCRBITRC, MCK_RegCRBITRC }, }, { 2945 /* cror */, PPC::CROR, Convert__RegCRBITRC1_0__RegCRBITRC1_1__RegCRBITRC1_2, 0, { MCK_RegCRBITRC, MCK_RegCRBITRC, MCK_RegCRBITRC }, }, { 2950 /* crorc */, PPC::CRORC, Convert__RegCRBITRC1_0__RegCRBITRC1_1__RegCRBITRC1_2, 0, { MCK_RegCRBITRC, MCK_RegCRBITRC, MCK_RegCRBITRC }, }, { 2956 /* crset */, PPC::CREQV, Convert__RegCRBITRC1_0__RegCRBITRC1_0__RegCRBITRC1_0, 0, { MCK_RegCRBITRC }, }, { 2962 /* crxor */, PPC::CRXOR, Convert__RegCRBITRC1_0__RegCRBITRC1_1__RegCRBITRC1_2, 0, { MCK_RegCRBITRC, MCK_RegCRBITRC, MCK_RegCRBITRC }, }, { 2968 /* dcba */, PPC::DCBA, Convert__RegGxRCNoR01_0__RegGxRC1_1, 0, { MCK_RegGxRCNoR0, MCK_RegGxRC }, }, { 2973 /* dcbf */, PPC::DCBF, Convert__RegGxRCNoR01_0__RegGxRC1_1, 0, { MCK_RegGxRCNoR0, MCK_RegGxRC }, }, { 2978 /* dcbi */, PPC::DCBI, Convert__RegGxRCNoR01_0__RegGxRC1_1, 0, { MCK_RegGxRCNoR0, MCK_RegGxRC }, }, { 2983 /* dcbst */, PPC::DCBST, Convert__RegGxRCNoR01_0__RegGxRC1_1, 0, { MCK_RegGxRCNoR0, MCK_RegGxRC }, }, { 2989 /* dcbt */, PPC::DCBTx, Convert__RegGxRCNoR01_0__RegGxRC1_1, 0, { MCK_RegGxRCNoR0, MCK_RegGxRC }, }, { 2989 /* dcbt */, PPC::DCBT, Convert__U5Imm1_2__RegGxRCNoR01_0__RegGxRC1_1, 0, { MCK_RegGxRCNoR0, MCK_RegGxRC, MCK_U5Imm }, }, { 2994 /* dcbtct */, PPC::DCBTCT, Convert__RegGxRCNoR01_0__RegGxRC1_1__U5Imm1_2, 0, { MCK_RegGxRCNoR0, MCK_RegGxRC, MCK_U5Imm }, }, { 3001 /* dcbtds */, PPC::DCBTDS, Convert__RegGxRCNoR01_0__RegGxRC1_1__U5Imm1_2, 0, { MCK_RegGxRCNoR0, MCK_RegGxRC, MCK_U5Imm }, }, { 3008 /* dcbtst */, PPC::DCBTSTx, Convert__RegGxRCNoR01_0__RegGxRC1_1, 0, { MCK_RegGxRCNoR0, MCK_RegGxRC }, }, { 3008 /* dcbtst */, PPC::DCBTST, Convert__U5Imm1_2__RegGxRCNoR01_0__RegGxRC1_1, 0, { MCK_RegGxRCNoR0, MCK_RegGxRC, MCK_U5Imm }, }, { 3015 /* dcbtstct */, PPC::DCBTSTCT, Convert__RegGxRCNoR01_0__RegGxRC1_1__U5Imm1_2, 0, { MCK_RegGxRCNoR0, MCK_RegGxRC, MCK_U5Imm }, }, { 3024 /* dcbtstds */, PPC::DCBTSTDS, Convert__RegGxRCNoR01_0__RegGxRC1_1__U5Imm1_2, 0, { MCK_RegGxRCNoR0, MCK_RegGxRC, MCK_U5Imm }, }, { 3033 /* dcbtstt */, PPC::DCBTSTT, Convert__RegGxRCNoR01_0__RegGxRC1_1, 0, { MCK_RegGxRCNoR0, MCK_RegGxRC }, }, { 3041 /* dcbtt */, PPC::DCBTT, Convert__RegGxRCNoR01_0__RegGxRC1_1, 0, { MCK_RegGxRCNoR0, MCK_RegGxRC }, }, { 3047 /* dcbz */, PPC::DCBZ, Convert__RegGxRCNoR01_0__RegGxRC1_1, 0, { MCK_RegGxRCNoR0, MCK_RegGxRC }, }, { 3052 /* dcbzl */, PPC::DCBZL, Convert__RegGxRCNoR01_0__RegGxRC1_1, 0, { MCK_RegGxRCNoR0, MCK_RegGxRC }, }, { 3058 /* dccci */, PPC::DCCCI, Convert__regR0__regR0, 0, { }, }, { 3058 /* dccci */, PPC::DCCCI, Convert__RegGPRC1_0__RegGPRC1_1, 0, { MCK_RegGPRC, MCK_RegGPRC }, }, { 3064 /* dci */, PPC::DCCCI, Convert__regR0__regR0, 0, { MCK_0 }, }, { 3068 /* divd */, PPC::DIVD, Convert__RegG8RC1_0__RegG8RC1_1__RegG8RC1_2, 0, { MCK_RegG8RC, MCK_RegG8RC, MCK_RegG8RC }, }, { 3068 /* divd */, PPC::DIVDo, Convert__RegG8RC1_1__RegG8RC1_2__RegG8RC1_3, 0, { MCK__DOT_, MCK_RegG8RC, MCK_RegG8RC, MCK_RegG8RC }, }, { 3073 /* divde */, PPC::DIVDE, Convert__RegG8RC1_0__RegG8RC1_1__RegG8RC1_2, 0, { MCK_RegG8RC, MCK_RegG8RC, MCK_RegG8RC }, }, { 3073 /* divde */, PPC::DIVDEo, Convert__RegG8RC1_1__RegG8RC1_2__RegG8RC1_3, 0, { MCK__DOT_, MCK_RegG8RC, MCK_RegG8RC, MCK_RegG8RC }, }, { 3079 /* divdeu */, PPC::DIVDEU, Convert__RegG8RC1_0__RegG8RC1_1__RegG8RC1_2, 0, { MCK_RegG8RC, MCK_RegG8RC, MCK_RegG8RC }, }, { 3079 /* divdeu */, PPC::DIVDEUo, Convert__RegG8RC1_1__RegG8RC1_2__RegG8RC1_3, 0, { MCK__DOT_, MCK_RegG8RC, MCK_RegG8RC, MCK_RegG8RC }, }, { 3086 /* divdu */, PPC::DIVDU, Convert__RegG8RC1_0__RegG8RC1_1__RegG8RC1_2, 0, { MCK_RegG8RC, MCK_RegG8RC, MCK_RegG8RC }, }, { 3086 /* divdu */, PPC::DIVDUo, Convert__RegG8RC1_1__RegG8RC1_2__RegG8RC1_3, 0, { MCK__DOT_, MCK_RegG8RC, MCK_RegG8RC, MCK_RegG8RC }, }, { 3092 /* divw */, PPC::DIVW, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, }, { 3092 /* divw */, PPC::DIVWo, Convert__RegGPRC1_1__RegGPRC1_2__RegGPRC1_3, 0, { MCK__DOT_, MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, }, { 3097 /* divwe */, PPC::DIVWE, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, }, { 3097 /* divwe */, PPC::DIVWEo, Convert__RegGPRC1_1__RegGPRC1_2__RegGPRC1_3, 0, { MCK__DOT_, MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, }, { 3103 /* divweu */, PPC::DIVWEU, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, }, { 3103 /* divweu */, PPC::DIVWEUo, Convert__RegGPRC1_1__RegGPRC1_2__RegGPRC1_3, 0, { MCK__DOT_, MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, }, { 3110 /* divwu */, PPC::DIVWU, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, }, { 3110 /* divwu */, PPC::DIVWUo, Convert__RegGPRC1_1__RegGPRC1_2__RegGPRC1_3, 0, { MCK__DOT_, MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, }, { 3116 /* dss */, PPC::DSS, Convert__U5Imm1_0, 0, { MCK_U5Imm }, }, { 3120 /* dssall */, PPC::DSSALL, Convert_NoOperands, 0, { }, }, { 3127 /* dst */, PPC::DST, Convert__U5Imm1_2__RegGPRC1_0__RegGPRC1_1, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_U5Imm }, }, { 3131 /* dstst */, PPC::DSTST, Convert__U5Imm1_2__RegGPRC1_0__RegGPRC1_1, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_U5Imm }, }, { 3137 /* dststt */, PPC::DSTSTT, Convert__U5Imm1_2__RegGPRC1_0__RegGPRC1_1, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_U5Imm }, }, { 3144 /* dstt */, PPC::DSTT, Convert__U5Imm1_2__RegGPRC1_0__RegGPRC1_1, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_U5Imm }, }, { 3149 /* eieio */, PPC::EnforceIEIO, Convert_NoOperands, 0, { }, }, { 3155 /* eqv */, PPC::EQV, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, }, { 3155 /* eqv */, PPC::EQVo, Convert__RegGPRC1_1__RegGPRC1_2__RegGPRC1_3, 0, { MCK__DOT_, MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, }, { 3159 /* evabs */, PPC::EVABS, Convert__RegGPRC1_0__RegGPRC1_1, 0, { MCK_RegGPRC, MCK_RegGPRC }, }, { 3165 /* evaddiw */, PPC::EVADDIW, Convert__RegGPRC1_0__RegGPRC1_2__U5Imm1_1, 0, { MCK_RegGPRC, MCK_U5Imm, MCK_RegGPRC }, }, { 3173 /* evaddsmiaaw */, PPC::EVADDSMIAAW, Convert__RegGPRC1_0__RegGPRC1_1, 0, { MCK_RegGPRC, MCK_RegGPRC }, }, { 3185 /* evaddssiaaw */, PPC::EVADDSSIAAW, Convert__RegGPRC1_0__RegGPRC1_1, 0, { MCK_RegGPRC, MCK_RegGPRC }, }, { 3197 /* evaddumiaaw */, PPC::EVADDUMIAAW, Convert__RegGPRC1_0__RegGPRC1_1, 0, { MCK_RegGPRC, MCK_RegGPRC }, }, { 3209 /* evaddusiaaw */, PPC::EVADDUSIAAW, Convert__RegGPRC1_0__RegGPRC1_1, 0, { MCK_RegGPRC, MCK_RegGPRC }, }, { 3221 /* evaddw */, PPC::EVADDW, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, }, { 3228 /* evand */, PPC::EVAND, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, }, { 3234 /* evandc */, PPC::EVANDC, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, }, { 3241 /* evcmpeq */, PPC::EVCMPEQ, Convert__RegCRRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegCRRC, MCK_RegGPRC, MCK_RegGPRC }, }, { 3249 /* evcmpgts */, PPC::EVCMPGTS, Convert__RegCRRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegCRRC, MCK_RegGPRC, MCK_RegGPRC }, }, { 3258 /* evcmpgtu */, PPC::EVCMPGTU, Convert__RegCRRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegCRRC, MCK_RegGPRC, MCK_RegGPRC }, }, { 3267 /* evcmplts */, PPC::EVCMPLTS, Convert__RegCRRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegCRRC, MCK_RegGPRC, MCK_RegGPRC }, }, { 3276 /* evcmpltu */, PPC::EVCMPLTU, Convert__RegCRRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegCRRC, MCK_RegGPRC, MCK_RegGPRC }, }, { 3285 /* evcntlsw */, PPC::EVCNTLSW, Convert__RegGPRC1_0__RegGPRC1_1, 0, { MCK_RegGPRC, MCK_RegGPRC }, }, { 3294 /* evcntlzw */, PPC::EVCNTLZW, Convert__RegGPRC1_0__RegGPRC1_1, 0, { MCK_RegGPRC, MCK_RegGPRC }, }, { 3303 /* evdivws */, PPC::EVDIVWS, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, }, { 3311 /* evdivwu */, PPC::EVDIVWU, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, }, { 3319 /* eveqv */, PPC::EVEQV, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, }, { 3325 /* evextsb */, PPC::EVEXTSB, Convert__RegGPRC1_0__RegGPRC1_1, 0, { MCK_RegGPRC, MCK_RegGPRC }, }, { 3333 /* evextsh */, PPC::EVEXTSH, Convert__RegGPRC1_0__RegGPRC1_1, 0, { MCK_RegGPRC, MCK_RegGPRC }, }, { 3341 /* evldd */, PPC::EVLDD, Convert__RegGPRC1_0__DispSPE81_1__RegGxRCNoR01_2, 0, { MCK_RegGPRC, MCK_DispSPE8, MCK_RegGxRCNoR0 }, }, { 3347 /* evlddx */, PPC::EVLDDX, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, }, { 3354 /* evldh */, PPC::EVLDH, Convert__RegGPRC1_0__DispSPE81_1__RegGxRCNoR01_2, 0, { MCK_RegGPRC, MCK_DispSPE8, MCK_RegGxRCNoR0 }, }, { 3360 /* evldhx */, PPC::EVLDHX, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, }, { 3367 /* evldw */, PPC::EVLDW, Convert__RegGPRC1_0__DispSPE81_1__RegGxRCNoR01_2, 0, { MCK_RegGPRC, MCK_DispSPE8, MCK_RegGxRCNoR0 }, }, { 3373 /* evldwx */, PPC::EVLDWX, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, }, { 3380 /* evlhhesplat */, PPC::EVLHHESPLAT, Convert__RegGPRC1_0__DispSPE21_1__RegGxRCNoR01_2, 0, { MCK_RegGPRC, MCK_DispSPE2, MCK_RegGxRCNoR0 }, }, { 3392 /* evlhhesplatx */, PPC::EVLHHESPLATX, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, }, { 3405 /* evlhhossplat */, PPC::EVLHHOSSPLAT, Convert__RegGPRC1_0__DispSPE21_1__RegGxRCNoR01_2, 0, { MCK_RegGPRC, MCK_DispSPE2, MCK_RegGxRCNoR0 }, }, { 3418 /* evlhhossplatx */, PPC::EVLHHOSSPLATX, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, }, { 3432 /* evlhhousplat */, PPC::EVLHHOUSPLAT, Convert__RegGPRC1_0__DispSPE21_1__RegGxRCNoR01_2, 0, { MCK_RegGPRC, MCK_DispSPE2, MCK_RegGxRCNoR0 }, }, { 3445 /* evlhhousplatx */, PPC::EVLHHOUSPLATX, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, }, { 3459 /* evlwhe */, PPC::EVLWHE, Convert__RegGPRC1_0__DispSPE41_1__RegGxRCNoR01_2, 0, { MCK_RegGPRC, MCK_DispSPE4, MCK_RegGxRCNoR0 }, }, { 3466 /* evlwhex */, PPC::EVLWHEX, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, }, { 3474 /* evlwhos */, PPC::EVLWHOS, Convert__RegGPRC1_0__DispSPE41_1__RegGxRCNoR01_2, 0, { MCK_RegGPRC, MCK_DispSPE4, MCK_RegGxRCNoR0 }, }, { 3482 /* evlwhosx */, PPC::EVLWHOSX, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, }, { 3491 /* evlwhou */, PPC::EVLWHOU, Convert__RegGPRC1_0__DispSPE41_1__RegGxRCNoR01_2, 0, { MCK_RegGPRC, MCK_DispSPE4, MCK_RegGxRCNoR0 }, }, { 3499 /* evlwhoux */, PPC::EVLWHOUX, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, }, { 3508 /* evlwhsplat */, PPC::EVLWHSPLAT, Convert__RegGPRC1_0__DispSPE41_1__RegGxRCNoR01_2, 0, { MCK_RegGPRC, MCK_DispSPE4, MCK_RegGxRCNoR0 }, }, { 3519 /* evlwhsplatx */, PPC::EVLWHSPLATX, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, }, { 3531 /* evlwwsplat */, PPC::EVLWWSPLAT, Convert__RegGPRC1_0__DispSPE41_1__RegGxRCNoR01_2, 0, { MCK_RegGPRC, MCK_DispSPE4, MCK_RegGxRCNoR0 }, }, { 3542 /* evlwwsplatx */, PPC::EVLWWSPLATX, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, }, { 3554 /* evmergehi */, PPC::EVMERGEHI, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, }, { 3564 /* evmergehilo */, PPC::EVMERGEHILO, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, }, { 3576 /* evmergelo */, PPC::EVMERGELO, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, }, { 3586 /* evmergelohi */, PPC::EVMERGELOHI, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, }, { 3598 /* evmhegsmfaa */, PPC::EVMHEGSMFAA, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, }, { 3610 /* evmhegsmfan */, PPC::EVMHEGSMFAN, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, }, { 3622 /* evmhegsmiaa */, PPC::EVMHEGSMIAA, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, }, { 3634 /* evmhegsmian */, PPC::EVMHEGSMIAN, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, }, { 3646 /* evmhegumiaa */, PPC::EVMHEGUMIAA, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, }, { 3658 /* evmhegumian */, PPC::EVMHEGUMIAN, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, }, { 3670 /* evmhesmf */, PPC::EVMHESMF, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, }, { 3679 /* evmhesmfa */, PPC::EVMHESMFA, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, }, { 3689 /* evmhesmfaaw */, PPC::EVMHESMFAAW, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, }, { 3701 /* evmhesmfanw */, PPC::EVMHESMFANW, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, }, { 3713 /* evmhesmi */, PPC::EVMHESMI, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, }, { 3722 /* evmhesmia */, PPC::EVMHESMIA, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, }, { 3732 /* evmhesmiaaw */, PPC::EVMHESMIAAW, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, }, { 3744 /* evmhesmianw */, PPC::EVMHESMIANW, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, }, { 3756 /* evmhessf */, PPC::EVMHESSF, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, }, { 3765 /* evmhessfa */, PPC::EVMHESSFA, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, }, { 3775 /* evmhessfaaw */, PPC::EVMHESSFAAW, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, }, { 3787 /* evmhessfanw */, PPC::EVMHESSFANW, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, }, { 3799 /* evmhessiaaw */, PPC::EVMHESSIAAW, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, }, { 3811 /* evmhessianw */, PPC::EVMHESSIANW, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, }, { 3823 /* evmheumi */, PPC::EVMHEUMI, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, }, { 3832 /* evmheumia */, PPC::EVMHEUMIA, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, }, { 3842 /* evmheumiaaw */, PPC::EVMHEUMIAAW, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, }, { 3854 /* evmheumianw */, PPC::EVMHEUMIANW, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, }, { 3866 /* evmheusiaaw */, PPC::EVMHEUSIAAW, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, }, { 3878 /* evmheusianw */, PPC::EVMHEUSIANW, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, }, { 3890 /* evmhogsmfaa */, PPC::EVMHOGSMFAA, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, }, { 3902 /* evmhogsmfan */, PPC::EVMHOGSMFAN, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, }, { 3914 /* evmhogsmiaa */, PPC::EVMHOGSMIAA, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, }, { 3926 /* evmhogsmian */, PPC::EVMHOGSMIAN, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, }, { 3938 /* evmhogumiaa */, PPC::EVMHOGUMIAA, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, }, { 3950 /* evmhogumian */, PPC::EVMHOGUMIAN, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, }, { 3962 /* evmhosmf */, PPC::EVMHOSMF, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, }, { 3971 /* evmhosmfa */, PPC::EVMHOSMFA, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, }, { 3981 /* evmhosmfaaw */, PPC::EVMHOSMFAAW, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, }, { 3993 /* evmhosmfanw */, PPC::EVMHOSMFANW, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, }, { 4005 /* evmhosmi */, PPC::EVMHOSMI, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, }, { 4014 /* evmhosmia */, PPC::EVMHOSMIA, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, }, { 4024 /* evmhosmiaaw */, PPC::EVMHOSMIAAW, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, }, { 4036 /* evmhosmianw */, PPC::EVMHOSMIANW, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, }, { 4048 /* evmhossf */, PPC::EVMHOSSF, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, }, { 4057 /* evmhossfa */, PPC::EVMHOSSFA, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, }, { 4067 /* evmhossfaaw */, PPC::EVMHOSSFAAW, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, }, { 4079 /* evmhossfanw */, PPC::EVMHOSSFANW, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, }, { 4091 /* evmhossiaaw */, PPC::EVMHOSSIAAW, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, }, { 4103 /* evmhossianw */, PPC::EVMHOSSIANW, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, }, { 4115 /* evmhoumi */, PPC::EVMHOUMI, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, }, { 4124 /* evmhoumia */, PPC::EVMHOUMIA, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, }, { 4134 /* evmhoumiaaw */, PPC::EVMHOUMIAAW, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, }, { 4146 /* evmhoumianw */, PPC::EVMHOUMIANW, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, }, { 4158 /* evmhousiaaw */, PPC::EVMHOUSIAAW, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, }, { 4170 /* evmhousianw */, PPC::EVMHOUSIANW, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, }, { 4182 /* evmra */, PPC::EVMRA, Convert__RegGPRC1_0__RegGPRC1_1, 0, { MCK_RegGPRC, MCK_RegGPRC }, }, { 4188 /* evmwhsmf */, PPC::EVMWHSMF, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, }, { 4197 /* evmwhsmfa */, PPC::EVMWHSMFA, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, }, { 4207 /* evmwhsmi */, PPC::EVMWHSMI, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, }, { 4216 /* evmwhsmia */, PPC::EVMWHSMIA, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, }, { 4226 /* evmwhssf */, PPC::EVMWHSSF, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, }, { 4235 /* evmwhssfa */, PPC::EVMWHSSFA, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, }, { 4245 /* evmwhumi */, PPC::EVMWHUMI, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, }, { 4254 /* evmwhumia */, PPC::EVMWHUMIA, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, }, { 4264 /* evmwlsmiaaw */, PPC::EVMWLSMIAAW, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, }, { 4276 /* evmwlsmianw */, PPC::EVMWLSMIANW, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, }, { 4288 /* evmwlssiaaw */, PPC::EVMWLSSIAAW, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, }, { 4300 /* evmwlssianw */, PPC::EVMWLSSIANW, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, }, { 4312 /* evmwlumi */, PPC::EVMWLUMI, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, }, { 4321 /* evmwlumia */, PPC::EVMWLUMIA, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, }, { 4331 /* evmwlumiaaw */, PPC::EVMWLUMIAAW, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, }, { 4343 /* evmwlumianw */, PPC::EVMWLUMIANW, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, }, { 4355 /* evmwlusiaaw */, PPC::EVMWLUSIAAW, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, }, { 4367 /* evmwlusianw */, PPC::EVMWLUSIANW, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, }, { 4379 /* evmwsmf */, PPC::EVMWSMF, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, }, { 4387 /* evmwsmfa */, PPC::EVMWSMFA, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, }, { 4396 /* evmwsmfaa */, PPC::EVMWSMFAA, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, }, { 4406 /* evmwsmfan */, PPC::EVMWSMFAN, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, }, { 4416 /* evmwsmi */, PPC::EVMWSMI, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, }, { 4424 /* evmwsmia */, PPC::EVMWSMIA, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, }, { 4433 /* evmwsmiaa */, PPC::EVMWSMIAA, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, }, { 4443 /* evmwsmian */, PPC::EVMWSMIAN, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, }, { 4453 /* evmwssf */, PPC::EVMWSSF, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, }, { 4461 /* evmwssfa */, PPC::EVMWSSFA, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, }, { 4470 /* evmwssfaa */, PPC::EVMWSSFAA, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, }, { 4480 /* evmwssfan */, PPC::EVMWSSFAN, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, }, { 4490 /* evmwumi */, PPC::EVMWUMI, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, }, { 4498 /* evmwumia */, PPC::EVMWUMIA, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, }, { 4507 /* evmwumiaa */, PPC::EVMWUMIAA, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, }, { 4517 /* evmwumian */, PPC::EVMWUMIAN, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, }, { 4527 /* evnand */, PPC::EVNAND, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, }, { 4534 /* evneg */, PPC::EVNEG, Convert__RegGPRC1_0__RegGPRC1_1, 0, { MCK_RegGPRC, MCK_RegGPRC }, }, { 4540 /* evnor */, PPC::EVNOR, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, }, { 4546 /* evor */, PPC::EVOR, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, }, { 4551 /* evorc */, PPC::EVORC, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, }, { 4557 /* evrlw */, PPC::EVRLW, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, }, { 4563 /* evrlwi */, PPC::EVRLWI, Convert__RegGPRC1_0__RegGPRC1_1__U5Imm1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_U5Imm }, }, { 4570 /* evrndw */, PPC::EVRNDW, Convert__RegGPRC1_0__RegGPRC1_1, 0, { MCK_RegGPRC, MCK_RegGPRC }, }, { 4577 /* evslw */, PPC::EVSLW, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, }, { 4583 /* evslwi */, PPC::EVSLWI, Convert__RegGPRC1_0__RegGPRC1_1__U5Imm1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_U5Imm }, }, { 4590 /* evsplatfi */, PPC::EVSPLATFI, Convert__RegGPRC1_0__Imm1_1, 0, { MCK_RegGPRC, MCK_Imm }, }, { 4600 /* evsplati */, PPC::EVSPLATI, Convert__RegGPRC1_0__Imm1_1, 0, { MCK_RegGPRC, MCK_Imm }, }, { 4609 /* evsrwis */, PPC::EVSRWIS, Convert__RegGPRC1_0__RegGPRC1_1__U5Imm1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_U5Imm }, }, { 4617 /* evsrwiu */, PPC::EVSRWIU, Convert__RegGPRC1_0__RegGPRC1_1__U5Imm1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_U5Imm }, }, { 4625 /* evsrws */, PPC::EVSRWS, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, }, { 4632 /* evsrwu */, PPC::EVSRWU, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, }, { 4639 /* evstdd */, PPC::EVSTDD, Convert__RegGPRC1_0__DispSPE81_1__RegGxRCNoR01_2, 0, { MCK_RegGPRC, MCK_DispSPE8, MCK_RegGxRCNoR0 }, }, { 4646 /* evstddx */, PPC::EVSTDDX, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, }, { 4654 /* evstdh */, PPC::EVSTDH, Convert__RegGPRC1_0__DispSPE81_1__RegGxRCNoR01_2, 0, { MCK_RegGPRC, MCK_DispSPE8, MCK_RegGxRCNoR0 }, }, { 4661 /* evstdhx */, PPC::EVSTDHX, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, }, { 4669 /* evstdw */, PPC::EVSTDW, Convert__RegGPRC1_0__DispSPE81_1__RegGxRCNoR01_2, 0, { MCK_RegGPRC, MCK_DispSPE8, MCK_RegGxRCNoR0 }, }, { 4676 /* evstdwx */, PPC::EVSTDWX, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, }, { 4684 /* evstwhe */, PPC::EVSTWHE, Convert__RegGPRC1_0__DispSPE41_1__RegGxRCNoR01_2, 0, { MCK_RegGPRC, MCK_DispSPE4, MCK_RegGxRCNoR0 }, }, { 4692 /* evstwhex */, PPC::EVSTWHEX, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, }, { 4701 /* evstwho */, PPC::EVSTWHO, Convert__RegGPRC1_0__DispSPE41_1__RegGxRCNoR01_2, 0, { MCK_RegGPRC, MCK_DispSPE4, MCK_RegGxRCNoR0 }, }, { 4709 /* evstwhox */, PPC::EVSTWHOX, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, }, { 4718 /* evstwwe */, PPC::EVSTWWE, Convert__RegGPRC1_0__DispSPE41_1__RegGxRCNoR01_2, 0, { MCK_RegGPRC, MCK_DispSPE4, MCK_RegGxRCNoR0 }, }, { 4726 /* evstwwex */, PPC::EVSTWWEX, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, }, { 4735 /* evstwwo */, PPC::EVSTWWO, Convert__RegGPRC1_0__DispSPE41_1__RegGxRCNoR01_2, 0, { MCK_RegGPRC, MCK_DispSPE4, MCK_RegGxRCNoR0 }, }, { 4743 /* evstwwox */, PPC::EVSTWWOX, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, }, { 4752 /* evsubfsmiaaw */, PPC::EVSUBFSMIAAW, Convert__RegGPRC1_0__RegGPRC1_1, 0, { MCK_RegGPRC, MCK_RegGPRC }, }, { 4765 /* evsubfssiaaw */, PPC::EVSUBFSSIAAW, Convert__RegGPRC1_0__RegGPRC1_1, 0, { MCK_RegGPRC, MCK_RegGPRC }, }, { 4778 /* evsubfumiaaw */, PPC::EVSUBFUMIAAW, Convert__RegGPRC1_0__RegGPRC1_1, 0, { MCK_RegGPRC, MCK_RegGPRC }, }, { 4791 /* evsubfusiaaw */, PPC::EVSUBFUSIAAW, Convert__RegGPRC1_0__RegGPRC1_1, 0, { MCK_RegGPRC, MCK_RegGPRC }, }, { 4804 /* evsubfw */, PPC::EVSUBFW, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, }, { 4812 /* evsubifw */, PPC::EVSUBIFW, Convert__RegGPRC1_0__U5Imm1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_U5Imm, MCK_RegGPRC }, }, { 4821 /* evxor */, PPC::EVXOR, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, }, { 4827 /* extldi */, PPC::EXTLDI, Convert__RegG8RC1_0__RegG8RC1_1__U6Imm1_2__U6Imm1_3, 0, { MCK_RegG8RC, MCK_RegG8RC, MCK_U6Imm, MCK_U6Imm }, }, { 4827 /* extldi */, PPC::EXTLDIo, Convert__RegG8RC1_1__RegG8RC1_2__U6Imm1_3__U6Imm1_4, 0, { MCK__DOT_, MCK_RegG8RC, MCK_RegG8RC, MCK_U6Imm, MCK_U6Imm }, }, { 4834 /* extlwi */, PPC::EXTLWI, Convert__RegGPRC1_0__RegGPRC1_1__U5Imm1_2__U5Imm1_3, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_U5Imm, MCK_U5Imm }, }, { 4834 /* extlwi */, PPC::EXTLWIo, Convert__RegGPRC1_1__RegGPRC1_2__U5Imm1_3__U5Imm1_4, 0, { MCK__DOT_, MCK_RegGPRC, MCK_RegGPRC, MCK_U5Imm, MCK_U5Imm }, }, { 4841 /* extrdi */, PPC::EXTRDI, Convert__RegG8RC1_0__RegG8RC1_1__U6Imm1_2__U6Imm1_3, 0, { MCK_RegG8RC, MCK_RegG8RC, MCK_U6Imm, MCK_U6Imm }, }, { 4841 /* extrdi */, PPC::EXTRDIo, Convert__RegG8RC1_1__RegG8RC1_2__U6Imm1_3__U6Imm1_4, 0, { MCK__DOT_, MCK_RegG8RC, MCK_RegG8RC, MCK_U6Imm, MCK_U6Imm }, }, { 4848 /* extrwi */, PPC::EXTRWI, Convert__RegGPRC1_0__RegGPRC1_1__U5Imm1_2__U5Imm1_3, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_U5Imm, MCK_U5Imm }, }, { 4848 /* extrwi */, PPC::EXTRWIo, Convert__RegGPRC1_1__RegGPRC1_2__U5Imm1_3__U5Imm1_4, 0, { MCK__DOT_, MCK_RegGPRC, MCK_RegGPRC, MCK_U5Imm, MCK_U5Imm }, }, { 4855 /* extsb */, PPC::EXTSB, Convert__RegGPRC1_0__RegGPRC1_1, 0, { MCK_RegGPRC, MCK_RegGPRC }, }, { 4855 /* extsb */, PPC::EXTSBo, Convert__RegGPRC1_1__RegGPRC1_2, 0, { MCK__DOT_, MCK_RegGPRC, MCK_RegGPRC }, }, { 4861 /* extsh */, PPC::EXTSH, Convert__RegGPRC1_0__RegGPRC1_1, 0, { MCK_RegGPRC, MCK_RegGPRC }, }, { 4861 /* extsh */, PPC::EXTSHo, Convert__RegGPRC1_1__RegGPRC1_2, 0, { MCK__DOT_, MCK_RegGPRC, MCK_RegGPRC }, }, { 4867 /* extsw */, PPC::EXTSW, Convert__RegG8RC1_0__RegG8RC1_1, 0, { MCK_RegG8RC, MCK_RegG8RC }, }, { 4867 /* extsw */, PPC::EXTSWo, Convert__RegG8RC1_1__RegG8RC1_2, 0, { MCK__DOT_, MCK_RegG8RC, MCK_RegG8RC }, }, { 4873 /* fabs */, PPC::FABSS, Convert__RegF4RC1_0__RegF4RC1_1, 0, { MCK_RegF4RC, MCK_RegF4RC }, }, { 4873 /* fabs */, PPC::FABSSo, Convert__RegF4RC1_1__RegF4RC1_2, 0, { MCK__DOT_, MCK_RegF4RC, MCK_RegF4RC }, }, { 4878 /* fadd */, PPC::FADD, Convert__RegF8RC1_0__RegF8RC1_1__RegF8RC1_2, 0, { MCK_RegF8RC, MCK_RegF8RC, MCK_RegF8RC }, }, { 4878 /* fadd */, PPC::FADDo, Convert__RegF8RC1_1__RegF8RC1_2__RegF8RC1_3, 0, { MCK__DOT_, MCK_RegF8RC, MCK_RegF8RC, MCK_RegF8RC }, }, { 4883 /* fadds */, PPC::FADDS, Convert__RegF4RC1_0__RegF4RC1_1__RegF4RC1_2, 0, { MCK_RegF4RC, MCK_RegF4RC, MCK_RegF4RC }, }, { 4883 /* fadds */, PPC::FADDSo, Convert__RegF4RC1_1__RegF4RC1_2__RegF4RC1_3, 0, { MCK__DOT_, MCK_RegF4RC, MCK_RegF4RC, MCK_RegF4RC }, }, { 4889 /* fcfid */, PPC::FCFID, Convert__RegF8RC1_0__RegF8RC1_1, 0, { MCK_RegF8RC, MCK_RegF8RC }, }, { 4889 /* fcfid */, PPC::FCFIDo, Convert__RegF8RC1_1__RegF8RC1_2, 0, { MCK__DOT_, MCK_RegF8RC, MCK_RegF8RC }, }, { 4895 /* fcfids */, PPC::FCFIDS, Convert__RegF4RC1_0__RegF8RC1_1, 0, { MCK_RegF4RC, MCK_RegF8RC }, }, { 4895 /* fcfids */, PPC::FCFIDSo, Convert__RegF4RC1_1__RegF8RC1_2, 0, { MCK__DOT_, MCK_RegF4RC, MCK_RegF8RC }, }, { 4902 /* fcfidu */, PPC::FCFIDU, Convert__RegF8RC1_0__RegF8RC1_1, 0, { MCK_RegF8RC, MCK_RegF8RC }, }, { 4902 /* fcfidu */, PPC::FCFIDUo, Convert__RegF8RC1_1__RegF8RC1_2, 0, { MCK__DOT_, MCK_RegF8RC, MCK_RegF8RC }, }, { 4909 /* fcfidus */, PPC::FCFIDUS, Convert__RegF4RC1_0__RegF8RC1_1, 0, { MCK_RegF4RC, MCK_RegF8RC }, }, { 4909 /* fcfidus */, PPC::FCFIDUSo, Convert__RegF4RC1_1__RegF8RC1_2, 0, { MCK__DOT_, MCK_RegF4RC, MCK_RegF8RC }, }, { 4917 /* fcmpu */, PPC::FCMPUS, Convert__RegCRRC1_0__RegF4RC1_1__RegF4RC1_2, 0, { MCK_RegCRRC, MCK_RegF4RC, MCK_RegF4RC }, }, { 4923 /* fcpsgn */, PPC::FCPSGNS, Convert__RegF4RC1_0__RegF4RC1_1__RegF4RC1_2, 0, { MCK_RegF4RC, MCK_RegF4RC, MCK_RegF4RC }, }, { 4923 /* fcpsgn */, PPC::FCPSGNSo, Convert__RegF4RC1_1__RegF4RC1_2__RegF4RC1_3, 0, { MCK__DOT_, MCK_RegF4RC, MCK_RegF4RC, MCK_RegF4RC }, }, { 4930 /* fctid */, PPC::FCTID, Convert__RegF8RC1_0__RegF8RC1_1, 0, { MCK_RegF8RC, MCK_RegF8RC }, }, { 4930 /* fctid */, PPC::FCTIDo, Convert__RegF8RC1_1__RegF8RC1_2, 0, { MCK__DOT_, MCK_RegF8RC, MCK_RegF8RC }, }, { 4936 /* fctiduz */, PPC::FCTIDUZ, Convert__RegF8RC1_0__RegF8RC1_1, 0, { MCK_RegF8RC, MCK_RegF8RC }, }, { 4936 /* fctiduz */, PPC::FCTIDUZo, Convert__RegF8RC1_1__RegF8RC1_2, 0, { MCK__DOT_, MCK_RegF8RC, MCK_RegF8RC }, }, { 4944 /* fctidz */, PPC::FCTIDZ, Convert__RegF8RC1_0__RegF8RC1_1, 0, { MCK_RegF8RC, MCK_RegF8RC }, }, { 4944 /* fctidz */, PPC::FCTIDZo, Convert__RegF8RC1_1__RegF8RC1_2, 0, { MCK__DOT_, MCK_RegF8RC, MCK_RegF8RC }, }, { 4951 /* fctiw */, PPC::FCTIW, Convert__RegF8RC1_0__RegF8RC1_1, 0, { MCK_RegF8RC, MCK_RegF8RC }, }, { 4951 /* fctiw */, PPC::FCTIWo, Convert__RegF8RC1_1__RegF8RC1_2, 0, { MCK__DOT_, MCK_RegF8RC, MCK_RegF8RC }, }, { 4957 /* fctiwuz */, PPC::FCTIWUZ, Convert__RegF8RC1_0__RegF8RC1_1, 0, { MCK_RegF8RC, MCK_RegF8RC }, }, { 4957 /* fctiwuz */, PPC::FCTIWUZo, Convert__RegF8RC1_1__RegF8RC1_2, 0, { MCK__DOT_, MCK_RegF8RC, MCK_RegF8RC }, }, { 4965 /* fctiwz */, PPC::FCTIWZ, Convert__RegF8RC1_0__RegF8RC1_1, 0, { MCK_RegF8RC, MCK_RegF8RC }, }, { 4965 /* fctiwz */, PPC::FCTIWZo, Convert__RegF8RC1_1__RegF8RC1_2, 0, { MCK__DOT_, MCK_RegF8RC, MCK_RegF8RC }, }, { 4972 /* fdiv */, PPC::FDIV, Convert__RegF8RC1_0__RegF8RC1_1__RegF8RC1_2, 0, { MCK_RegF8RC, MCK_RegF8RC, MCK_RegF8RC }, }, { 4972 /* fdiv */, PPC::FDIVo, Convert__RegF8RC1_1__RegF8RC1_2__RegF8RC1_3, 0, { MCK__DOT_, MCK_RegF8RC, MCK_RegF8RC, MCK_RegF8RC }, }, { 4977 /* fdivs */, PPC::FDIVS, Convert__RegF4RC1_0__RegF4RC1_1__RegF4RC1_2, 0, { MCK_RegF4RC, MCK_RegF4RC, MCK_RegF4RC }, }, { 4977 /* fdivs */, PPC::FDIVSo, Convert__RegF4RC1_1__RegF4RC1_2__RegF4RC1_3, 0, { MCK__DOT_, MCK_RegF4RC, MCK_RegF4RC, MCK_RegF4RC }, }, { 4983 /* fmadd */, PPC::FMADD, Convert__RegF8RC1_0__RegF8RC1_1__RegF8RC1_2__RegF8RC1_3, 0, { MCK_RegF8RC, MCK_RegF8RC, MCK_RegF8RC, MCK_RegF8RC }, }, { 4983 /* fmadd */, PPC::FMADDo, Convert__RegF8RC1_1__RegF8RC1_2__RegF8RC1_3__RegF8RC1_4, 0, { MCK__DOT_, MCK_RegF8RC, MCK_RegF8RC, MCK_RegF8RC, MCK_RegF8RC }, }, { 4989 /* fmadds */, PPC::FMADDS, Convert__RegF4RC1_0__RegF4RC1_1__RegF4RC1_2__RegF4RC1_3, 0, { MCK_RegF4RC, MCK_RegF4RC, MCK_RegF4RC, MCK_RegF4RC }, }, { 4989 /* fmadds */, PPC::FMADDSo, Convert__RegF4RC1_1__RegF4RC1_2__RegF4RC1_3__RegF4RC1_4, 0, { MCK__DOT_, MCK_RegF4RC, MCK_RegF4RC, MCK_RegF4RC, MCK_RegF4RC }, }, { 4996 /* fmr */, PPC::FMR, Convert__RegF4RC1_0__RegF4RC1_1, 0, { MCK_RegF4RC, MCK_RegF4RC }, }, { 4996 /* fmr */, PPC::FMRo, Convert__RegF4RC1_1__RegF4RC1_2, 0, { MCK__DOT_, MCK_RegF4RC, MCK_RegF4RC }, }, { 5000 /* fmsub */, PPC::FMSUB, Convert__RegF8RC1_0__RegF8RC1_1__RegF8RC1_2__RegF8RC1_3, 0, { MCK_RegF8RC, MCK_RegF8RC, MCK_RegF8RC, MCK_RegF8RC }, }, { 5000 /* fmsub */, PPC::FMSUBo, Convert__RegF8RC1_1__RegF8RC1_2__RegF8RC1_3__RegF8RC1_4, 0, { MCK__DOT_, MCK_RegF8RC, MCK_RegF8RC, MCK_RegF8RC, MCK_RegF8RC }, }, { 5006 /* fmsubs */, PPC::FMSUBS, Convert__RegF4RC1_0__RegF4RC1_1__RegF4RC1_2__RegF4RC1_3, 0, { MCK_RegF4RC, MCK_RegF4RC, MCK_RegF4RC, MCK_RegF4RC }, }, { 5006 /* fmsubs */, PPC::FMSUBSo, Convert__RegF4RC1_1__RegF4RC1_2__RegF4RC1_3__RegF4RC1_4, 0, { MCK__DOT_, MCK_RegF4RC, MCK_RegF4RC, MCK_RegF4RC, MCK_RegF4RC }, }, { 5013 /* fmul */, PPC::FMUL, Convert__RegF8RC1_0__RegF8RC1_1__RegF8RC1_2, 0, { MCK_RegF8RC, MCK_RegF8RC, MCK_RegF8RC }, }, { 5013 /* fmul */, PPC::FMULo, Convert__RegF8RC1_1__RegF8RC1_2__RegF8RC1_3, 0, { MCK__DOT_, MCK_RegF8RC, MCK_RegF8RC, MCK_RegF8RC }, }, { 5018 /* fmuls */, PPC::FMULS, Convert__RegF4RC1_0__RegF4RC1_1__RegF4RC1_2, 0, { MCK_RegF4RC, MCK_RegF4RC, MCK_RegF4RC }, }, { 5018 /* fmuls */, PPC::FMULSo, Convert__RegF4RC1_1__RegF4RC1_2__RegF4RC1_3, 0, { MCK__DOT_, MCK_RegF4RC, MCK_RegF4RC, MCK_RegF4RC }, }, { 5024 /* fnabs */, PPC::FNABSS, Convert__RegF4RC1_0__RegF4RC1_1, 0, { MCK_RegF4RC, MCK_RegF4RC }, }, { 5024 /* fnabs */, PPC::FNABSSo, Convert__RegF4RC1_1__RegF4RC1_2, 0, { MCK__DOT_, MCK_RegF4RC, MCK_RegF4RC }, }, { 5030 /* fneg */, PPC::FNEGS, Convert__RegF4RC1_0__RegF4RC1_1, 0, { MCK_RegF4RC, MCK_RegF4RC }, }, { 5030 /* fneg */, PPC::FNEGSo, Convert__RegF4RC1_1__RegF4RC1_2, 0, { MCK__DOT_, MCK_RegF4RC, MCK_RegF4RC }, }, { 5035 /* fnmadd */, PPC::FNMADD, Convert__RegF8RC1_0__RegF8RC1_1__RegF8RC1_2__RegF8RC1_3, 0, { MCK_RegF8RC, MCK_RegF8RC, MCK_RegF8RC, MCK_RegF8RC }, }, { 5035 /* fnmadd */, PPC::FNMADDo, Convert__RegF8RC1_1__RegF8RC1_2__RegF8RC1_3__RegF8RC1_4, 0, { MCK__DOT_, MCK_RegF8RC, MCK_RegF8RC, MCK_RegF8RC, MCK_RegF8RC }, }, { 5042 /* fnmadds */, PPC::FNMADDS, Convert__RegF4RC1_0__RegF4RC1_1__RegF4RC1_2__RegF4RC1_3, 0, { MCK_RegF4RC, MCK_RegF4RC, MCK_RegF4RC, MCK_RegF4RC }, }, { 5042 /* fnmadds */, PPC::FNMADDSo, Convert__RegF4RC1_1__RegF4RC1_2__RegF4RC1_3__RegF4RC1_4, 0, { MCK__DOT_, MCK_RegF4RC, MCK_RegF4RC, MCK_RegF4RC, MCK_RegF4RC }, }, { 5050 /* fnmsub */, PPC::FNMSUB, Convert__RegF8RC1_0__RegF8RC1_1__RegF8RC1_2__RegF8RC1_3, 0, { MCK_RegF8RC, MCK_RegF8RC, MCK_RegF8RC, MCK_RegF8RC }, }, { 5050 /* fnmsub */, PPC::FNMSUBo, Convert__RegF8RC1_1__RegF8RC1_2__RegF8RC1_3__RegF8RC1_4, 0, { MCK__DOT_, MCK_RegF8RC, MCK_RegF8RC, MCK_RegF8RC, MCK_RegF8RC }, }, { 5057 /* fnmsubs */, PPC::FNMSUBS, Convert__RegF4RC1_0__RegF4RC1_1__RegF4RC1_2__RegF4RC1_3, 0, { MCK_RegF4RC, MCK_RegF4RC, MCK_RegF4RC, MCK_RegF4RC }, }, { 5057 /* fnmsubs */, PPC::FNMSUBSo, Convert__RegF4RC1_1__RegF4RC1_2__RegF4RC1_3__RegF4RC1_4, 0, { MCK__DOT_, MCK_RegF4RC, MCK_RegF4RC, MCK_RegF4RC, MCK_RegF4RC }, }, { 5065 /* fre */, PPC::FRE, Convert__RegF8RC1_0__RegF8RC1_1, 0, { MCK_RegF8RC, MCK_RegF8RC }, }, { 5065 /* fre */, PPC::FREo, Convert__RegF8RC1_1__RegF8RC1_2, 0, { MCK__DOT_, MCK_RegF8RC, MCK_RegF8RC }, }, { 5069 /* fres */, PPC::FRES, Convert__RegF4RC1_0__RegF4RC1_1, 0, { MCK_RegF4RC, MCK_RegF4RC }, }, { 5069 /* fres */, PPC::FRESo, Convert__RegF4RC1_1__RegF4RC1_2, 0, { MCK__DOT_, MCK_RegF4RC, MCK_RegF4RC }, }, { 5074 /* frim */, PPC::FRIMS, Convert__RegF4RC1_0__RegF4RC1_1, 0, { MCK_RegF4RC, MCK_RegF4RC }, }, { 5074 /* frim */, PPC::FRIMSo, Convert__RegF4RC1_1__RegF4RC1_2, 0, { MCK__DOT_, MCK_RegF4RC, MCK_RegF4RC }, }, { 5079 /* frin */, PPC::FRINS, Convert__RegF4RC1_0__RegF4RC1_1, 0, { MCK_RegF4RC, MCK_RegF4RC }, }, { 5079 /* frin */, PPC::FRINSo, Convert__RegF4RC1_1__RegF4RC1_2, 0, { MCK__DOT_, MCK_RegF4RC, MCK_RegF4RC }, }, { 5084 /* frip */, PPC::FRIPS, Convert__RegF4RC1_0__RegF4RC1_1, 0, { MCK_RegF4RC, MCK_RegF4RC }, }, { 5084 /* frip */, PPC::FRIPSo, Convert__RegF4RC1_1__RegF4RC1_2, 0, { MCK__DOT_, MCK_RegF4RC, MCK_RegF4RC }, }, { 5089 /* friz */, PPC::FRIZS, Convert__RegF4RC1_0__RegF4RC1_1, 0, { MCK_RegF4RC, MCK_RegF4RC }, }, { 5089 /* friz */, PPC::FRIZSo, Convert__RegF4RC1_1__RegF4RC1_2, 0, { MCK__DOT_, MCK_RegF4RC, MCK_RegF4RC }, }, { 5094 /* frsp */, PPC::FRSP, Convert__RegF4RC1_0__RegF8RC1_1, 0, { MCK_RegF4RC, MCK_RegF8RC }, }, { 5094 /* frsp */, PPC::FRSPo, Convert__RegF4RC1_1__RegF8RC1_2, 0, { MCK__DOT_, MCK_RegF4RC, MCK_RegF8RC }, }, { 5099 /* frsqrte */, PPC::FRSQRTE, Convert__RegF8RC1_0__RegF8RC1_1, 0, { MCK_RegF8RC, MCK_RegF8RC }, }, { 5099 /* frsqrte */, PPC::FRSQRTEo, Convert__RegF8RC1_1__RegF8RC1_2, 0, { MCK__DOT_, MCK_RegF8RC, MCK_RegF8RC }, }, { 5107 /* frsqrtes */, PPC::FRSQRTES, Convert__RegF4RC1_0__RegF4RC1_1, 0, { MCK_RegF4RC, MCK_RegF4RC }, }, { 5107 /* frsqrtes */, PPC::FRSQRTESo, Convert__RegF4RC1_1__RegF4RC1_2, 0, { MCK__DOT_, MCK_RegF4RC, MCK_RegF4RC }, }, { 5116 /* fsel */, PPC::FSELS, Convert__RegF4RC1_0__RegF8RC1_1__RegF4RC1_2__RegF4RC1_3, 0, { MCK_RegF4RC, MCK_RegF8RC, MCK_RegF4RC, MCK_RegF4RC }, }, { 5116 /* fsel */, PPC::FSELSo, Convert__RegF4RC1_1__RegF8RC1_2__RegF4RC1_3__RegF4RC1_4, 0, { MCK__DOT_, MCK_RegF4RC, MCK_RegF8RC, MCK_RegF4RC, MCK_RegF4RC }, }, { 5121 /* fsqrt */, PPC::FSQRT, Convert__RegF8RC1_0__RegF8RC1_1, 0, { MCK_RegF8RC, MCK_RegF8RC }, }, { 5121 /* fsqrt */, PPC::FSQRTo, Convert__RegF8RC1_1__RegF8RC1_2, 0, { MCK__DOT_, MCK_RegF8RC, MCK_RegF8RC }, }, { 5127 /* fsqrts */, PPC::FSQRTS, Convert__RegF4RC1_0__RegF4RC1_1, 0, { MCK_RegF4RC, MCK_RegF4RC }, }, { 5127 /* fsqrts */, PPC::FSQRTSo, Convert__RegF4RC1_1__RegF4RC1_2, 0, { MCK__DOT_, MCK_RegF4RC, MCK_RegF4RC }, }, { 5134 /* fsub */, PPC::FSUB, Convert__RegF8RC1_0__RegF8RC1_1__RegF8RC1_2, 0, { MCK_RegF8RC, MCK_RegF8RC, MCK_RegF8RC }, }, { 5134 /* fsub */, PPC::FSUBo, Convert__RegF8RC1_1__RegF8RC1_2__RegF8RC1_3, 0, { MCK__DOT_, MCK_RegF8RC, MCK_RegF8RC, MCK_RegF8RC }, }, { 5139 /* fsubs */, PPC::FSUBS, Convert__RegF4RC1_0__RegF4RC1_1__RegF4RC1_2, 0, { MCK_RegF4RC, MCK_RegF4RC, MCK_RegF4RC }, }, { 5139 /* fsubs */, PPC::FSUBSo, Convert__RegF4RC1_1__RegF4RC1_2__RegF4RC1_3, 0, { MCK__DOT_, MCK_RegF4RC, MCK_RegF4RC, MCK_RegF4RC }, }, { 5145 /* icbi */, PPC::ICBI, Convert__RegGxRCNoR01_0__RegGxRC1_1, 0, { MCK_RegGxRCNoR0, MCK_RegGxRC }, }, { 5150 /* icbt */, PPC::ICBT, Convert__U4Imm1_0__RegGxRCNoR01_1__RegGxRC1_2, 0, { MCK_U4Imm, MCK_RegGxRCNoR0, MCK_RegGxRC }, }, { 5155 /* iccci */, PPC::ICCCI, Convert__regR0__regR0, 0, { }, }, { 5155 /* iccci */, PPC::ICCCI, Convert__RegGPRC1_0__RegGPRC1_1, 0, { MCK_RegGPRC, MCK_RegGPRC }, }, { 5161 /* ici */, PPC::ICCCI, Convert__regR0__regR0, 0, { MCK_0 }, }, { 5165 /* inslwi */, PPC::INSLWI, Convert__RegGPRC1_0__RegGPRC1_1__U5Imm1_2__U5Imm1_3, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_U5Imm, MCK_U5Imm }, }, { 5165 /* inslwi */, PPC::INSLWIo, Convert__RegGPRC1_1__RegGPRC1_2__U5Imm1_3__U5Imm1_4, 0, { MCK__DOT_, MCK_RegGPRC, MCK_RegGPRC, MCK_U5Imm, MCK_U5Imm }, }, { 5172 /* insrdi */, PPC::INSRDI, Convert__RegG8RC1_0__RegG8RC1_1__U6Imm1_2__U6Imm1_3, 0, { MCK_RegG8RC, MCK_RegG8RC, MCK_U6Imm, MCK_U6Imm }, }, { 5172 /* insrdi */, PPC::INSRDIo, Convert__RegG8RC1_1__RegG8RC1_2__U6Imm1_3__U6Imm1_4, 0, { MCK__DOT_, MCK_RegG8RC, MCK_RegG8RC, MCK_U6Imm, MCK_U6Imm }, }, { 5179 /* insrwi */, PPC::INSRWI, Convert__RegGPRC1_0__RegGPRC1_1__U5Imm1_2__U5Imm1_3, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_U5Imm, MCK_U5Imm }, }, { 5179 /* insrwi */, PPC::INSRWIo, Convert__RegGPRC1_1__RegGPRC1_2__U5Imm1_3__U5Imm1_4, 0, { MCK__DOT_, MCK_RegGPRC, MCK_RegGPRC, MCK_U5Imm, MCK_U5Imm }, }, { 5186 /* isel */, PPC::ISEL, Convert__RegGPRC1_0__RegGPRCNoR01_1__RegGPRC1_2__RegCRBITRC1_3, 0, { MCK_RegGPRC, MCK_RegGPRCNoR0, MCK_RegGPRC, MCK_RegCRBITRC }, }, { 5191 /* isync */, PPC::ISYNC, Convert_NoOperands, 0, { }, }, { 5197 /* la */, PPC::LAx, Convert__RegGPRC1_0__DispRI1_1__RegGxRCNoR01_2, 0, { MCK_RegGPRC, MCK_DispRI, MCK_RegGxRCNoR0 }, }, { 5200 /* lbarx */, PPC::LBARX, Convert__RegGPRC1_0__RegGxRCNoR01_1__RegGxRC1_2, 0, { MCK_RegGPRC, MCK_RegGxRCNoR0, MCK_RegGxRC }, }, { 5200 /* lbarx */, PPC::LBARXL, Convert__RegGPRC1_0__RegGxRCNoR01_1__RegGxRC1_2, 0, { MCK_RegGPRC, MCK_RegGxRCNoR0, MCK_RegGxRC, MCK_1 }, }, { 5206 /* lbz */, PPC::LBZ, Convert__RegGPRC1_0__DispRI1_1__RegGxRCNoR01_2, 0, { MCK_RegGPRC, MCK_DispRI, MCK_RegGxRCNoR0 }, }, { 5210 /* lbzcix */, PPC::LBZCIX, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, }, { 5217 /* lbzu */, PPC::LBZU, Convert__RegGPRC1_0__imm_95_0__DispRI1_1__RegGxRCNoR01_2, 0, { MCK_RegGPRC, MCK_DispRI, MCK_RegGxRCNoR0 }, }, { 5222 /* lbzux */, PPC::LBZUX, Convert__RegGPRC1_0__imm_95_0__RegGxRCNoR01_1__RegGxRC1_2, 0, { MCK_RegGPRC, MCK_RegGxRCNoR0, MCK_RegGxRC }, }, { 5228 /* lbzx */, PPC::LBZX, Convert__RegGPRC1_0__RegGxRCNoR01_1__RegGxRC1_2, 0, { MCK_RegGPRC, MCK_RegGxRCNoR0, MCK_RegGxRC }, }, { 5233 /* ld */, PPC::LD, Convert__RegG8RC1_0__DispRIX1_1__RegGxRCNoR01_2, 0, { MCK_RegG8RC, MCK_DispRIX, MCK_RegGxRCNoR0 }, }, { 5236 /* ldarx */, PPC::LDARX, Convert__RegG8RC1_0__RegGxRCNoR01_1__RegGxRC1_2, 0, { MCK_RegG8RC, MCK_RegGxRCNoR0, MCK_RegGxRC }, }, { 5236 /* ldarx */, PPC::LDARXL, Convert__RegG8RC1_0__RegGxRCNoR01_1__RegGxRC1_2, 0, { MCK_RegG8RC, MCK_RegGxRCNoR0, MCK_RegGxRC, MCK_1 }, }, { 5242 /* ldbrx */, PPC::LDBRX, Convert__RegG8RC1_0__RegGxRCNoR01_1__RegGxRC1_2, 0, { MCK_RegG8RC, MCK_RegGxRCNoR0, MCK_RegGxRC }, }, { 5248 /* ldcix */, PPC::LDCIX, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, }, { 5254 /* ldu */, PPC::LDU, Convert__RegG8RC1_0__imm_95_0__DispRIX1_1__RegGxRCNoR01_2, 0, { MCK_RegG8RC, MCK_DispRIX, MCK_RegGxRCNoR0 }, }, { 5258 /* ldux */, PPC::LDUX, Convert__RegG8RC1_0__imm_95_0__RegGxRCNoR01_1__RegGxRC1_2, 0, { MCK_RegG8RC, MCK_RegGxRCNoR0, MCK_RegGxRC }, }, { 5263 /* ldx */, PPC::LDX, Convert__RegG8RC1_0__RegGxRCNoR01_1__RegGxRC1_2, 0, { MCK_RegG8RC, MCK_RegGxRCNoR0, MCK_RegGxRC }, }, { 5267 /* lfd */, PPC::LFD, Convert__RegF8RC1_0__DispRI1_1__RegGxRCNoR01_2, 0, { MCK_RegF8RC, MCK_DispRI, MCK_RegGxRCNoR0 }, }, { 5271 /* lfdu */, PPC::LFDU, Convert__RegF8RC1_0__imm_95_0__DispRI1_1__RegGxRCNoR01_2, 0, { MCK_RegF8RC, MCK_DispRI, MCK_RegGxRCNoR0 }, }, { 5276 /* lfdux */, PPC::LFDUX, Convert__RegF8RC1_0__imm_95_0__RegGxRCNoR01_1__RegGxRC1_2, 0, { MCK_RegF8RC, MCK_RegGxRCNoR0, MCK_RegGxRC }, }, { 5282 /* lfdx */, PPC::LFDX, Convert__RegF8RC1_0__RegGxRCNoR01_1__RegGxRC1_2, 0, { MCK_RegF8RC, MCK_RegGxRCNoR0, MCK_RegGxRC }, }, { 5287 /* lfiwax */, PPC::LFIWAX, Convert__RegF8RC1_0__RegGxRCNoR01_1__RegGxRC1_2, 0, { MCK_RegF8RC, MCK_RegGxRCNoR0, MCK_RegGxRC }, }, { 5294 /* lfiwzx */, PPC::LFIWZX, Convert__RegF8RC1_0__RegGxRCNoR01_1__RegGxRC1_2, 0, { MCK_RegF8RC, MCK_RegGxRCNoR0, MCK_RegGxRC }, }, { 5301 /* lfs */, PPC::LFS, Convert__RegF4RC1_0__DispRI1_1__RegGxRCNoR01_2, 0, { MCK_RegF4RC, MCK_DispRI, MCK_RegGxRCNoR0 }, }, { 5305 /* lfsu */, PPC::LFSU, Convert__RegF4RC1_0__imm_95_0__DispRI1_1__RegGxRCNoR01_2, 0, { MCK_RegF4RC, MCK_DispRI, MCK_RegGxRCNoR0 }, }, { 5310 /* lfsux */, PPC::LFSUX, Convert__RegF4RC1_0__imm_95_0__RegGxRCNoR01_1__RegGxRC1_2, 0, { MCK_RegF4RC, MCK_RegGxRCNoR0, MCK_RegGxRC }, }, { 5316 /* lfsx */, PPC::LFSX, Convert__RegF4RC1_0__RegGxRCNoR01_1__RegGxRC1_2, 0, { MCK_RegF4RC, MCK_RegGxRCNoR0, MCK_RegGxRC }, }, { 5321 /* lha */, PPC::LHA, Convert__RegGPRC1_0__DispRI1_1__RegGxRCNoR01_2, 0, { MCK_RegGPRC, MCK_DispRI, MCK_RegGxRCNoR0 }, }, { 5325 /* lharx */, PPC::LHARX, Convert__RegGPRC1_0__RegGxRCNoR01_1__RegGxRC1_2, 0, { MCK_RegGPRC, MCK_RegGxRCNoR0, MCK_RegGxRC }, }, { 5325 /* lharx */, PPC::LHARXL, Convert__RegGPRC1_0__RegGxRCNoR01_1__RegGxRC1_2, 0, { MCK_RegGPRC, MCK_RegGxRCNoR0, MCK_RegGxRC, MCK_1 }, }, { 5331 /* lhau */, PPC::LHAU, Convert__RegGPRC1_0__imm_95_0__DispRI1_1__RegGxRCNoR01_2, 0, { MCK_RegGPRC, MCK_DispRI, MCK_RegGxRCNoR0 }, }, { 5336 /* lhaux */, PPC::LHAUX, Convert__RegGPRC1_0__imm_95_0__RegGxRCNoR01_1__RegGxRC1_2, 0, { MCK_RegGPRC, MCK_RegGxRCNoR0, MCK_RegGxRC }, }, { 5342 /* lhax */, PPC::LHAX, Convert__RegGPRC1_0__RegGxRCNoR01_1__RegGxRC1_2, 0, { MCK_RegGPRC, MCK_RegGxRCNoR0, MCK_RegGxRC }, }, { 5347 /* lhbrx */, PPC::LHBRX, Convert__RegGPRC1_0__RegGxRCNoR01_1__RegGxRC1_2, 0, { MCK_RegGPRC, MCK_RegGxRCNoR0, MCK_RegGxRC }, }, { 5353 /* lhz */, PPC::LHZ, Convert__RegGPRC1_0__DispRI1_1__RegGxRCNoR01_2, 0, { MCK_RegGPRC, MCK_DispRI, MCK_RegGxRCNoR0 }, }, { 5357 /* lhzcix */, PPC::LHZCIX, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, }, { 5364 /* lhzu */, PPC::LHZU, Convert__RegGPRC1_0__imm_95_0__DispRI1_1__RegGxRCNoR01_2, 0, { MCK_RegGPRC, MCK_DispRI, MCK_RegGxRCNoR0 }, }, { 5369 /* lhzux */, PPC::LHZUX, Convert__RegGPRC1_0__imm_95_0__RegGxRCNoR01_1__RegGxRC1_2, 0, { MCK_RegGPRC, MCK_RegGxRCNoR0, MCK_RegGxRC }, }, { 5375 /* lhzx */, PPC::LHZX, Convert__RegGPRC1_0__RegGxRCNoR01_1__RegGxRC1_2, 0, { MCK_RegGPRC, MCK_RegGxRCNoR0, MCK_RegGxRC }, }, { 5380 /* li */, PPC::LI, Convert__RegGPRC1_0__S16Imm1_1, 0, { MCK_RegGPRC, MCK_S16Imm }, }, { 5383 /* lis */, PPC::LIS, Convert__RegGPRC1_0__S17Imm1_1, 0, { MCK_RegGPRC, MCK_S17Imm }, }, { 5387 /* lmw */, PPC::LMW, Convert__RegGPRC1_0__DispRI1_1__RegGxRCNoR01_2, 0, { MCK_RegGPRC, MCK_DispRI, MCK_RegGxRCNoR0 }, }, { 5391 /* lswi */, PPC::LSWI, Convert__RegGPRC1_0__RegGPRC1_1__U5Imm1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_U5Imm }, }, { 5396 /* lvebx */, PPC::LVEBX, Convert__RegVRRC1_0__RegGxRCNoR01_1__RegGxRC1_2, 0, { MCK_RegVRRC, MCK_RegGxRCNoR0, MCK_RegGxRC }, }, { 5402 /* lvehx */, PPC::LVEHX, Convert__RegVRRC1_0__RegGxRCNoR01_1__RegGxRC1_2, 0, { MCK_RegVRRC, MCK_RegGxRCNoR0, MCK_RegGxRC }, }, { 5408 /* lvewx */, PPC::LVEWX, Convert__RegVRRC1_0__RegGxRCNoR01_1__RegGxRC1_2, 0, { MCK_RegVRRC, MCK_RegGxRCNoR0, MCK_RegGxRC }, }, { 5414 /* lvsl */, PPC::LVSL, Convert__RegVRRC1_0__RegGxRCNoR01_1__RegGxRC1_2, 0, { MCK_RegVRRC, MCK_RegGxRCNoR0, MCK_RegGxRC }, }, { 5419 /* lvsr */, PPC::LVSR, Convert__RegVRRC1_0__RegGxRCNoR01_1__RegGxRC1_2, 0, { MCK_RegVRRC, MCK_RegGxRCNoR0, MCK_RegGxRC }, }, { 5424 /* lvx */, PPC::LVX, Convert__RegVRRC1_0__RegGxRCNoR01_1__RegGxRC1_2, 0, { MCK_RegVRRC, MCK_RegGxRCNoR0, MCK_RegGxRC }, }, { 5428 /* lvxl */, PPC::LVXL, Convert__RegVRRC1_0__RegGxRCNoR01_1__RegGxRC1_2, 0, { MCK_RegVRRC, MCK_RegGxRCNoR0, MCK_RegGxRC }, }, { 5433 /* lwa */, PPC::LWA, Convert__RegG8RC1_0__DispRIX1_1__RegGxRCNoR01_2, 0, { MCK_RegG8RC, MCK_DispRIX, MCK_RegGxRCNoR0 }, }, { 5437 /* lwarx */, PPC::LWARX, Convert__RegGPRC1_0__RegGxRCNoR01_1__RegGxRC1_2, 0, { MCK_RegGPRC, MCK_RegGxRCNoR0, MCK_RegGxRC }, }, { 5437 /* lwarx */, PPC::LWARXL, Convert__RegGPRC1_0__RegGxRCNoR01_1__RegGxRC1_2, 0, { MCK_RegGPRC, MCK_RegGxRCNoR0, MCK_RegGxRC, MCK_1 }, }, { 5443 /* lwaux */, PPC::LWAUX, Convert__RegG8RC1_0__imm_95_0__RegGxRCNoR01_1__RegGxRC1_2, 0, { MCK_RegG8RC, MCK_RegGxRCNoR0, MCK_RegGxRC }, }, { 5449 /* lwax */, PPC::LWAX, Convert__RegG8RC1_0__RegGxRCNoR01_1__RegGxRC1_2, 0, { MCK_RegG8RC, MCK_RegGxRCNoR0, MCK_RegGxRC }, }, { 5454 /* lwbrx */, PPC::LWBRX, Convert__RegGPRC1_0__RegGxRCNoR01_1__RegGxRC1_2, 0, { MCK_RegGPRC, MCK_RegGxRCNoR0, MCK_RegGxRC }, }, { 5460 /* lwsync */, PPC::SYNC, Convert__imm_95_1, 0, { }, }, { 5467 /* lwz */, PPC::LWZ, Convert__RegGPRC1_0__DispRI1_1__RegGxRCNoR01_2, 0, { MCK_RegGPRC, MCK_DispRI, MCK_RegGxRCNoR0 }, }, { 5471 /* lwzcix */, PPC::LWZCIX, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, }, { 5478 /* lwzu */, PPC::LWZU, Convert__RegGPRC1_0__imm_95_0__DispRI1_1__RegGxRCNoR01_2, 0, { MCK_RegGPRC, MCK_DispRI, MCK_RegGxRCNoR0 }, }, { 5483 /* lwzux */, PPC::LWZUX, Convert__RegGPRC1_0__imm_95_0__RegGxRCNoR01_1__RegGxRC1_2, 0, { MCK_RegGPRC, MCK_RegGxRCNoR0, MCK_RegGxRC }, }, { 5489 /* lwzx */, PPC::LWZX, Convert__RegGPRC1_0__RegGxRCNoR01_1__RegGxRC1_2, 0, { MCK_RegGPRC, MCK_RegGxRCNoR0, MCK_RegGxRC }, }, { 5494 /* lxsdx */, PPC::LXSDX, Convert__RegVSFRC1_0__RegGxRCNoR01_1__RegGxRC1_2, 0, { MCK_RegVSFRC, MCK_RegGxRCNoR0, MCK_RegGxRC }, }, { 5500 /* lxsiwax */, PPC::LXSIWAX, Convert__RegVSFRC1_0__RegGxRCNoR01_1__RegGxRC1_2, 0, { MCK_RegVSFRC, MCK_RegGxRCNoR0, MCK_RegGxRC }, }, { 5508 /* lxsiwzx */, PPC::LXSIWZX, Convert__RegVSFRC1_0__RegGxRCNoR01_1__RegGxRC1_2, 0, { MCK_RegVSFRC, MCK_RegGxRCNoR0, MCK_RegGxRC }, }, { 5516 /* lxsspx */, PPC::LXSSPX, Convert__RegVSSRC1_0__RegGxRCNoR01_1__RegGxRC1_2, 0, { MCK_RegVSSRC, MCK_RegGxRCNoR0, MCK_RegGxRC }, }, { 5523 /* lxvd2x */, PPC::LXVD2X, Convert__RegVSRC1_0__RegGxRCNoR01_1__RegGxRC1_2, 0, { MCK_RegVSRC, MCK_RegGxRCNoR0, MCK_RegGxRC }, }, { 5530 /* lxvdsx */, PPC::LXVDSX, Convert__RegVSRC1_0__RegGxRCNoR01_1__RegGxRC1_2, 0, { MCK_RegVSRC, MCK_RegGxRCNoR0, MCK_RegGxRC }, }, { 5537 /* lxvw4x */, PPC::LXVW4X, Convert__RegVSRC1_0__RegGxRCNoR01_1__RegGxRC1_2, 0, { MCK_RegVSRC, MCK_RegGxRCNoR0, MCK_RegGxRC }, }, { 5544 /* mbar */, PPC::MBAR, Convert__imm_95_0, 0, { }, }, { 5544 /* mbar */, PPC::MBAR, Convert__U5Imm1_0, 0, { MCK_U5Imm }, }, { 5549 /* mcrf */, PPC::MCRF, Convert__RegCRRC1_0__RegCRRC1_1, 0, { MCK_RegCRRC, MCK_RegCRRC }, }, { 5554 /* mcrfs */, PPC::MCRFS, Convert__RegCRRC1_0__RegCRRC1_1, 0, { MCK_RegCRRC, MCK_RegCRRC }, }, { 5560 /* mfamr */, PPC::MFSPR, Convert__RegGPRC1_0__imm_95_29, 0, { MCK_RegGPRC }, }, { 5566 /* mfasr */, PPC::MFSPR, Convert__RegGPRC1_0__imm_95_280, 0, { MCK_RegGPRC }, }, { 5572 /* mfbhrbe */, PPC::MFBHRBE, Convert__RegGPRC1_0__U10Imm1_1__imm_95_0, 0, { MCK_RegGPRC, MCK_U10Imm }, }, { 5580 /* mfbr0 */, PPC::MFDCR, Convert__RegGPRC1_0__imm_95_128, 0, { MCK_RegGPRC }, }, { 5586 /* mfbr1 */, PPC::MFDCR, Convert__RegGPRC1_0__imm_95_129, 0, { MCK_RegGPRC }, }, { 5592 /* mfbr2 */, PPC::MFDCR, Convert__RegGPRC1_0__imm_95_130, 0, { MCK_RegGPRC }, }, { 5598 /* mfbr3 */, PPC::MFDCR, Convert__RegGPRC1_0__imm_95_131, 0, { MCK_RegGPRC }, }, { 5604 /* mfbr4 */, PPC::MFDCR, Convert__RegGPRC1_0__imm_95_132, 0, { MCK_RegGPRC }, }, { 5610 /* mfbr5 */, PPC::MFDCR, Convert__RegGPRC1_0__imm_95_133, 0, { MCK_RegGPRC }, }, { 5616 /* mfbr6 */, PPC::MFDCR, Convert__RegGPRC1_0__imm_95_134, 0, { MCK_RegGPRC }, }, { 5622 /* mfbr7 */, PPC::MFDCR, Convert__RegGPRC1_0__imm_95_135, 0, { MCK_RegGPRC }, }, { 5628 /* mfcfar */, PPC::MFSPR, Convert__RegGPRC1_0__imm_95_28, 0, { MCK_RegGPRC }, }, { 5635 /* mfcr */, PPC::MFCR, Convert__RegGPRC1_0, 0, { MCK_RegGPRC }, }, { 5640 /* mfctr */, PPC::MFCTR, Convert__RegGPRC1_0, 0, { MCK_RegGPRC }, }, { 5646 /* mfdar */, PPC::MFSPR, Convert__RegGPRC1_0__imm_95_19, 0, { MCK_RegGPRC }, }, { 5652 /* mfdbatl */, PPC::MFSPR, Convert__RegGPRC1_0__imm_95_537, 0, { MCK_RegGPRC, MCK_0 }, }, { 5652 /* mfdbatl */, PPC::MFSPR, Convert__RegGPRC1_0__imm_95_539, 0, { MCK_RegGPRC, MCK_1 }, }, { 5652 /* mfdbatl */, PPC::MFSPR, Convert__RegGPRC1_0__imm_95_541, 0, { MCK_RegGPRC, MCK_2 }, }, { 5652 /* mfdbatl */, PPC::MFSPR, Convert__RegGPRC1_0__imm_95_543, 0, { MCK_RegGPRC, MCK_3 }, }, { 5660 /* mfdbatu */, PPC::MFSPR, Convert__RegGPRC1_0__imm_95_536, 0, { MCK_RegGPRC, MCK_0 }, }, { 5660 /* mfdbatu */, PPC::MFSPR, Convert__RegGPRC1_0__imm_95_538, 0, { MCK_RegGPRC, MCK_1 }, }, { 5660 /* mfdbatu */, PPC::MFSPR, Convert__RegGPRC1_0__imm_95_540, 0, { MCK_RegGPRC, MCK_2 }, }, { 5660 /* mfdbatu */, PPC::MFSPR, Convert__RegGPRC1_0__imm_95_542, 0, { MCK_RegGPRC, MCK_3 }, }, { 5668 /* mfdccr */, PPC::MFSPR, Convert__RegGPRC1_0__imm_95_1018, 0, { MCK_RegGPRC }, }, { 5675 /* mfdcr */, PPC::MFDCR, Convert__RegGPRC1_0__Imm1_1, 0, { MCK_RegGPRC, MCK_Imm }, }, { 5681 /* mfdear */, PPC::MFSPR, Convert__RegGPRC1_0__imm_95_981, 0, { MCK_RegGPRC }, }, { 5688 /* mfdec */, PPC::MFSPR, Convert__RegGPRC1_0__imm_95_22, 0, { MCK_RegGPRC }, }, { 5688 /* mfdec */, PPC::MFSPR, Convert__RegGPRC1_0__imm_95_22, 0, { MCK_RegGPRC }, }, { 5694 /* mfdscr */, PPC::MFSPR, Convert__RegGPRC1_0__imm_95_17, 0, { MCK_RegGPRC }, }, { 5701 /* mfdsisr */, PPC::MFSPR, Convert__RegGPRC1_0__imm_95_18, 0, { MCK_RegGPRC }, }, { 5709 /* mfesr */, PPC::MFSPR, Convert__RegGPRC1_0__imm_95_980, 0, { MCK_RegGPRC }, }, { 5715 /* mffs */, PPC::MFFS, Convert__RegF8RC1_0, 0, { MCK_RegF8RC }, }, { 5715 /* mffs */, PPC::MFFSo, Convert__RegF8RC1_1, 0, { MCK__DOT_, MCK_RegF8RC }, }, { 5720 /* mfibatl */, PPC::MFSPR, Convert__RegGPRC1_0__imm_95_529, 0, { MCK_RegGPRC, MCK_0 }, }, { 5720 /* mfibatl */, PPC::MFSPR, Convert__RegGPRC1_0__imm_95_531, 0, { MCK_RegGPRC, MCK_1 }, }, { 5720 /* mfibatl */, PPC::MFSPR, Convert__RegGPRC1_0__imm_95_533, 0, { MCK_RegGPRC, MCK_2 }, }, { 5720 /* mfibatl */, PPC::MFSPR, Convert__RegGPRC1_0__imm_95_535, 0, { MCK_RegGPRC, MCK_3 }, }, { 5728 /* mfibatu */, PPC::MFSPR, Convert__RegGPRC1_0__imm_95_528, 0, { MCK_RegGPRC, MCK_0 }, }, { 5728 /* mfibatu */, PPC::MFSPR, Convert__RegGPRC1_0__imm_95_530, 0, { MCK_RegGPRC, MCK_1 }, }, { 5728 /* mfibatu */, PPC::MFSPR, Convert__RegGPRC1_0__imm_95_532, 0, { MCK_RegGPRC, MCK_2 }, }, { 5728 /* mfibatu */, PPC::MFSPR, Convert__RegGPRC1_0__imm_95_534, 0, { MCK_RegGPRC, MCK_3 }, }, { 5736 /* mficcr */, PPC::MFSPR, Convert__RegGPRC1_0__imm_95_1019, 0, { MCK_RegGPRC }, }, { 5743 /* mflr */, PPC::MFLR, Convert__RegGPRC1_0, 0, { MCK_RegGPRC }, }, { 5748 /* mfmsr */, PPC::MFMSR, Convert__RegGPRC1_0, 0, { MCK_RegGPRC }, }, { 5754 /* mfocrf */, PPC::MFOCRF, Convert__RegGPRC1_0__CRBitMask1_1, 0, { MCK_RegGPRC, MCK_CRBitMask }, }, { 5761 /* mfpid */, PPC::MFSPR, Convert__RegGPRC1_0__imm_95_48, 0, { MCK_RegGPRC }, }, { 5767 /* mfpvr */, PPC::MFSPR, Convert__RegGPRC1_0__imm_95_287, 0, { MCK_RegGPRC }, }, { 5773 /* mfrtcl */, PPC::MFSPR, Convert__RegGPRC1_0__imm_95_5, 0, { MCK_RegGPRC }, }, { 5780 /* mfrtcu */, PPC::MFSPR, Convert__RegGPRC1_0__imm_95_4, 0, { MCK_RegGPRC }, }, { 5787 /* mfsdr1 */, PPC::MFSPR, Convert__RegGPRC1_0__imm_95_25, 0, { MCK_RegGPRC }, }, { 5787 /* mfsdr1 */, PPC::MFSPR, Convert__RegGPRC1_0__imm_95_25, 0, { MCK_RegGPRC }, }, { 5794 /* mfspefscr */, PPC::MFSPR, Convert__RegGPRC1_0__imm_95_512, 0, { MCK_RegGPRC }, }, { 5804 /* mfspr */, PPC::MFSPR, Convert__RegGPRC1_0__Imm1_1, 0, { MCK_RegGPRC, MCK_Imm }, }, { 5810 /* mfsprg */, PPC::MFSPR, Convert__RegGPRC1_0__imm_95_272, 0, { MCK_RegGPRC, MCK_0 }, }, { 5810 /* mfsprg */, PPC::MFSPR, Convert__RegGPRC1_0__imm_95_273, 0, { MCK_RegGPRC, MCK_1 }, }, { 5810 /* mfsprg */, PPC::MFSPR, Convert__RegGPRC1_0__imm_95_274, 0, { MCK_RegGPRC, MCK_2 }, }, { 5810 /* mfsprg */, PPC::MFSPR, Convert__RegGPRC1_0__imm_95_275, 0, { MCK_RegGPRC, MCK_3 }, }, { 5810 /* mfsprg */, PPC::MFSPR, Convert__RegGPRC1_0__imm_95_260, 0, { MCK_RegGPRC, MCK_4 }, }, { 5810 /* mfsprg */, PPC::MFSPR, Convert__RegGPRC1_0__imm_95_261, 0, { MCK_RegGPRC, MCK_5 }, }, { 5810 /* mfsprg */, PPC::MFSPR, Convert__RegGPRC1_0__imm_95_262, 0, { MCK_RegGPRC, MCK_6 }, }, { 5810 /* mfsprg */, PPC::MFSPR, Convert__RegGPRC1_0__imm_95_263, 0, { MCK_RegGPRC, MCK_7 }, }, { 5817 /* mfsprg0 */, PPC::MFSPR, Convert__RegGPRC1_0__imm_95_272, 0, { MCK_RegGPRC }, }, { 5825 /* mfsprg1 */, PPC::MFSPR, Convert__RegGPRC1_0__imm_95_273, 0, { MCK_RegGPRC }, }, { 5833 /* mfsprg2 */, PPC::MFSPR, Convert__RegGPRC1_0__imm_95_274, 0, { MCK_RegGPRC }, }, { 5841 /* mfsprg3 */, PPC::MFSPR, Convert__RegGPRC1_0__imm_95_275, 0, { MCK_RegGPRC }, }, { 5849 /* mfsprg4 */, PPC::MFSPR, Convert__RegGPRC1_0__imm_95_260, 0, { MCK_RegGPRC }, }, { 5857 /* mfsprg5 */, PPC::MFSPR, Convert__RegGPRC1_0__imm_95_261, 0, { MCK_RegGPRC }, }, { 5865 /* mfsprg6 */, PPC::MFSPR, Convert__RegGPRC1_0__imm_95_262, 0, { MCK_RegGPRC }, }, { 5873 /* mfsprg7 */, PPC::MFSPR, Convert__RegGPRC1_0__imm_95_263, 0, { MCK_RegGPRC }, }, { 5881 /* mfsr */, PPC::MFSR, Convert__RegGPRC1_0__U4Imm1_1, 0, { MCK_RegGPRC, MCK_U4Imm }, }, { 5886 /* mfsrin */, PPC::MFSRIN, Convert__RegGPRC1_0__RegGPRC1_1, 0, { MCK_RegGPRC, MCK_RegGPRC }, }, { 5893 /* mfsrr0 */, PPC::MFSPR, Convert__RegGPRC1_0__imm_95_26, 0, { MCK_RegGPRC }, }, { 5893 /* mfsrr0 */, PPC::MFSPR, Convert__RegGPRC1_0__imm_95_26, 0, { MCK_RegGPRC }, }, { 5900 /* mfsrr1 */, PPC::MFSPR, Convert__RegGPRC1_0__imm_95_27, 0, { MCK_RegGPRC }, }, { 5900 /* mfsrr1 */, PPC::MFSPR, Convert__RegGPRC1_0__imm_95_27, 0, { MCK_RegGPRC }, }, { 5907 /* mfsrr2 */, PPC::MFSPR, Convert__RegGPRC1_0__imm_95_990, 0, { MCK_RegGPRC }, }, { 5914 /* mfsrr3 */, PPC::MFSPR, Convert__RegGPRC1_0__imm_95_991, 0, { MCK_RegGPRC }, }, { 5921 /* mftb */, PPC::MFTB, Convert__RegGPRC1_0__imm_95_268, 0, { MCK_RegGPRC }, }, { 5921 /* mftb */, PPC::MFTB, Convert__RegGPRC1_0__Imm1_1, 0, { MCK_RegGPRC, MCK_Imm }, }, { 5926 /* mftbhi */, PPC::MFSPR, Convert__RegGPRC1_0__imm_95_988, 0, { MCK_RegGPRC }, }, { 5933 /* mftbl */, PPC::MFTB, Convert__RegGPRC1_0__imm_95_268, 0, { MCK_RegGPRC }, }, { 5939 /* mftblo */, PPC::MFSPR, Convert__RegGPRC1_0__imm_95_989, 0, { MCK_RegGPRC }, }, { 5946 /* mftbu */, PPC::MFTB, Convert__RegGPRC1_0__imm_95_269, 0, { MCK_RegGPRC }, }, { 5952 /* mftcr */, PPC::MFSPR, Convert__RegGPRC1_0__imm_95_986, 0, { MCK_RegGPRC }, }, { 5958 /* mfvscr */, PPC::MFVSCR, Convert__RegVRRC1_0, 0, { MCK_RegVRRC }, }, { 5965 /* mfvsrd */, PPC::MFVSRD, Convert__RegG8RC1_0__RegVSFRC1_1, 0, { MCK_RegG8RC, MCK_RegVSFRC }, }, { 5972 /* mfvsrwz */, PPC::MFVSRWZ, Convert__RegGPRC1_0__RegVSFRC1_1, 0, { MCK_RegGPRC, MCK_RegVSFRC }, }, { 5980 /* mfxer */, PPC::MFSPR, Convert__RegGPRC1_0__imm_95_1, 0, { MCK_RegGPRC }, }, { 5986 /* mr */, PPC::OR8, Convert__RegG8RC1_0__RegG8RC1_1__RegG8RC1_1, 0, { MCK_RegG8RC, MCK_RegG8RC }, }, { 5986 /* mr */, PPC::OR8o, Convert__RegG8RC1_1__RegG8RC1_2__RegG8RC1_2, 0, { MCK__DOT_, MCK_RegG8RC, MCK_RegG8RC }, }, { 5989 /* msync */, PPC::SYNC, Convert__imm_95_0, 0, { }, }, { 5995 /* mtamr */, PPC::MTSPR, Convert__imm_95_29__RegGPRC1_0, 0, { MCK_RegGPRC }, }, { 6001 /* mtasr */, PPC::MTSPR, Convert__imm_95_280__RegGPRC1_0, 0, { MCK_RegGPRC }, }, { 6001 /* mtasr */, PPC::MTSPR, Convert__imm_95_280__RegGPRC1_0, 0, { MCK_RegGPRC }, }, { 6007 /* mtbr0 */, PPC::MTDCR, Convert__RegGPRC1_0__imm_95_128, 0, { MCK_RegGPRC }, }, { 6013 /* mtbr1 */, PPC::MTDCR, Convert__RegGPRC1_0__imm_95_129, 0, { MCK_RegGPRC }, }, { 6019 /* mtbr2 */, PPC::MTDCR, Convert__RegGPRC1_0__imm_95_130, 0, { MCK_RegGPRC }, }, { 6025 /* mtbr3 */, PPC::MTDCR, Convert__RegGPRC1_0__imm_95_131, 0, { MCK_RegGPRC }, }, { 6031 /* mtbr4 */, PPC::MTDCR, Convert__RegGPRC1_0__imm_95_132, 0, { MCK_RegGPRC }, }, { 6037 /* mtbr5 */, PPC::MTDCR, Convert__RegGPRC1_0__imm_95_133, 0, { MCK_RegGPRC }, }, { 6043 /* mtbr6 */, PPC::MTDCR, Convert__RegGPRC1_0__imm_95_134, 0, { MCK_RegGPRC }, }, { 6049 /* mtbr7 */, PPC::MTDCR, Convert__RegGPRC1_0__imm_95_135, 0, { MCK_RegGPRC }, }, { 6055 /* mtcfar */, PPC::MTSPR, Convert__imm_95_28__RegGPRC1_0, 0, { MCK_RegGPRC }, }, { 6062 /* mtcr */, PPC::MTCRF8, Convert__imm_95_255__RegG8RC1_0, 0, { MCK_RegG8RC }, }, { 6067 /* mtcrf */, PPC::MTCRF, Convert__Imm1_0__RegGPRC1_1, 0, { MCK_Imm, MCK_RegGPRC }, }, { 6073 /* mtctr */, PPC::MTCTR, Convert__RegGPRC1_0, 0, { MCK_RegGPRC }, }, { 6079 /* mtdar */, PPC::MTSPR, Convert__imm_95_19__RegGPRC1_0, 0, { MCK_RegGPRC }, }, { 6085 /* mtdbatl */, PPC::MTSPR, Convert__imm_95_537__RegGPRC1_1, 0, { MCK_0, MCK_RegGPRC }, }, { 6085 /* mtdbatl */, PPC::MTSPR, Convert__imm_95_539__RegGPRC1_1, 0, { MCK_1, MCK_RegGPRC }, }, { 6085 /* mtdbatl */, PPC::MTSPR, Convert__imm_95_541__RegGPRC1_1, 0, { MCK_2, MCK_RegGPRC }, }, { 6085 /* mtdbatl */, PPC::MTSPR, Convert__imm_95_543__RegGPRC1_1, 0, { MCK_3, MCK_RegGPRC }, }, { 6093 /* mtdbatu */, PPC::MTSPR, Convert__imm_95_536__RegGPRC1_1, 0, { MCK_0, MCK_RegGPRC }, }, { 6093 /* mtdbatu */, PPC::MTSPR, Convert__imm_95_538__RegGPRC1_1, 0, { MCK_1, MCK_RegGPRC }, }, { 6093 /* mtdbatu */, PPC::MTSPR, Convert__imm_95_540__RegGPRC1_1, 0, { MCK_2, MCK_RegGPRC }, }, { 6093 /* mtdbatu */, PPC::MTSPR, Convert__imm_95_542__RegGPRC1_1, 0, { MCK_3, MCK_RegGPRC }, }, { 6101 /* mtdccr */, PPC::MTSPR, Convert__imm_95_1018__RegGPRC1_0, 0, { MCK_RegGPRC }, }, { 6108 /* mtdcr */, PPC::MTDCR, Convert__RegGPRC1_1__Imm1_0, 0, { MCK_Imm, MCK_RegGPRC }, }, { 6114 /* mtdear */, PPC::MTSPR, Convert__imm_95_981__RegGPRC1_0, 0, { MCK_RegGPRC }, }, { 6121 /* mtdec */, PPC::MTSPR, Convert__imm_95_22__RegGPRC1_0, 0, { MCK_RegGPRC }, }, { 6121 /* mtdec */, PPC::MTSPR, Convert__imm_95_22__RegGPRC1_0, 0, { MCK_RegGPRC }, }, { 6127 /* mtdscr */, PPC::MTSPR, Convert__imm_95_17__RegGPRC1_0, 0, { MCK_RegGPRC }, }, { 6134 /* mtdsisr */, PPC::MTSPR, Convert__imm_95_18__RegGPRC1_0, 0, { MCK_RegGPRC }, }, { 6142 /* mtesr */, PPC::MTSPR, Convert__imm_95_980__RegGPRC1_0, 0, { MCK_RegGPRC }, }, { 6148 /* mtfsb0 */, PPC::MTFSB0, Convert__U5Imm1_0, 0, { MCK_U5Imm }, }, { 6155 /* mtfsb1 */, PPC::MTFSB1, Convert__U5Imm1_0, 0, { MCK_U5Imm }, }, { 6162 /* mtfsf */, PPC::MTFSF, Convert__Imm1_0__RegF8RC1_1__imm_95_0__imm_95_0, 0, { MCK_Imm, MCK_RegF8RC }, }, { 6162 /* mtfsf */, PPC::MTFSFo, Convert__Imm1_1__RegF8RC1_2__imm_95_0__imm_95_0, 0, { MCK__DOT_, MCK_Imm, MCK_RegF8RC }, }, { 6162 /* mtfsf */, PPC::MTFSF, Convert__Imm1_0__RegF8RC1_1__Imm1_2__Imm1_3, 0, { MCK_Imm, MCK_RegF8RC, MCK_Imm, MCK_Imm }, }, { 6162 /* mtfsf */, PPC::MTFSFo, Convert__Imm1_1__RegF8RC1_2__Imm1_3__Imm1_4, 0, { MCK__DOT_, MCK_Imm, MCK_RegF8RC, MCK_Imm, MCK_Imm }, }, { 6168 /* mtfsfi */, PPC::MTFSFI, Convert__RegCRRC1_0__Imm1_1__imm_95_0, 0, { MCK_RegCRRC, MCK_Imm }, }, { 6168 /* mtfsfi */, PPC::MTFSFIo, Convert__RegCRRC1_1__Imm1_2__imm_95_0, 0, { MCK__DOT_, MCK_RegCRRC, MCK_Imm }, }, { 6168 /* mtfsfi */, PPC::MTFSFI, Convert__RegCRRC1_0__Imm1_1__Imm1_2, 0, { MCK_RegCRRC, MCK_Imm, MCK_Imm }, }, { 6168 /* mtfsfi */, PPC::MTFSFIo, Convert__RegCRRC1_1__Imm1_2__Imm1_3, 0, { MCK__DOT_, MCK_RegCRRC, MCK_Imm, MCK_Imm }, }, { 6175 /* mtibatl */, PPC::MTSPR, Convert__imm_95_529__RegGPRC1_1, 0, { MCK_0, MCK_RegGPRC }, }, { 6175 /* mtibatl */, PPC::MTSPR, Convert__imm_95_531__RegGPRC1_1, 0, { MCK_1, MCK_RegGPRC }, }, { 6175 /* mtibatl */, PPC::MTSPR, Convert__imm_95_533__RegGPRC1_1, 0, { MCK_2, MCK_RegGPRC }, }, { 6175 /* mtibatl */, PPC::MTSPR, Convert__imm_95_535__RegGPRC1_1, 0, { MCK_3, MCK_RegGPRC }, }, { 6183 /* mtibatu */, PPC::MTSPR, Convert__imm_95_528__RegGPRC1_1, 0, { MCK_0, MCK_RegGPRC }, }, { 6183 /* mtibatu */, PPC::MTSPR, Convert__imm_95_530__RegGPRC1_1, 0, { MCK_1, MCK_RegGPRC }, }, { 6183 /* mtibatu */, PPC::MTSPR, Convert__imm_95_532__RegGPRC1_1, 0, { MCK_2, MCK_RegGPRC }, }, { 6183 /* mtibatu */, PPC::MTSPR, Convert__imm_95_534__RegGPRC1_1, 0, { MCK_3, MCK_RegGPRC }, }, { 6191 /* mticcr */, PPC::MTSPR, Convert__imm_95_1019__RegGPRC1_0, 0, { MCK_RegGPRC }, }, { 6198 /* mtlr */, PPC::MTLR, Convert__RegGPRC1_0, 0, { MCK_RegGPRC }, }, { 6203 /* mtmsr */, PPC::MTMSR, Convert__RegGPRC1_0__imm_95_0, 0, { MCK_RegGPRC }, }, { 6203 /* mtmsr */, PPC::MTMSR, Convert__RegGPRC1_0__Imm1_1, 0, { MCK_RegGPRC, MCK_Imm }, }, { 6209 /* mtmsrd */, PPC::MTMSRD, Convert__RegGPRC1_0__imm_95_0, 0, { MCK_RegGPRC }, }, { 6209 /* mtmsrd */, PPC::MTMSRD, Convert__RegGPRC1_0__Imm1_1, 0, { MCK_RegGPRC, MCK_Imm }, }, { 6216 /* mtocrf */, PPC::MTOCRF, Convert__CRBitMask1_0__RegGPRC1_1, 0, { MCK_CRBitMask, MCK_RegGPRC }, }, { 6223 /* mtpid */, PPC::MTSPR, Convert__imm_95_48__RegGPRC1_0, 0, { MCK_RegGPRC }, }, { 6229 /* mtsdr1 */, PPC::MTSPR, Convert__imm_95_25__RegGPRC1_0, 0, { MCK_RegGPRC }, }, { 6229 /* mtsdr1 */, PPC::MTSPR, Convert__imm_95_25__RegGPRC1_0, 0, { MCK_RegGPRC }, }, { 6236 /* mtspefscr */, PPC::MTSPR, Convert__imm_95_512__RegGPRC1_0, 0, { MCK_RegGPRC }, }, { 6246 /* mtspr */, PPC::MTSPR, Convert__Imm1_0__RegGPRC1_1, 0, { MCK_Imm, MCK_RegGPRC }, }, { 6252 /* mtsprg */, PPC::MTSPR, Convert__imm_95_272__RegGPRC1_1, 0, { MCK_0, MCK_RegGPRC }, }, { 6252 /* mtsprg */, PPC::MTSPR, Convert__imm_95_273__RegGPRC1_1, 0, { MCK_1, MCK_RegGPRC }, }, { 6252 /* mtsprg */, PPC::MTSPR, Convert__imm_95_274__RegGPRC1_1, 0, { MCK_2, MCK_RegGPRC }, }, { 6252 /* mtsprg */, PPC::MTSPR, Convert__imm_95_275__RegGPRC1_1, 0, { MCK_3, MCK_RegGPRC }, }, { 6252 /* mtsprg */, PPC::MTSPR, Convert__imm_95_260__RegGPRC1_1, 0, { MCK_4, MCK_RegGPRC }, }, { 6252 /* mtsprg */, PPC::MTSPR, Convert__imm_95_261__RegGPRC1_1, 0, { MCK_5, MCK_RegGPRC }, }, { 6252 /* mtsprg */, PPC::MTSPR, Convert__imm_95_262__RegGPRC1_1, 0, { MCK_6, MCK_RegGPRC }, }, { 6252 /* mtsprg */, PPC::MTSPR, Convert__imm_95_263__RegGPRC1_1, 0, { MCK_7, MCK_RegGPRC }, }, { 6259 /* mtsprg0 */, PPC::MTSPR, Convert__imm_95_272__RegGPRC1_0, 0, { MCK_RegGPRC }, }, { 6267 /* mtsprg1 */, PPC::MTSPR, Convert__imm_95_273__RegGPRC1_0, 0, { MCK_RegGPRC }, }, { 6275 /* mtsprg2 */, PPC::MTSPR, Convert__imm_95_274__RegGPRC1_0, 0, { MCK_RegGPRC }, }, { 6283 /* mtsprg3 */, PPC::MTSPR, Convert__imm_95_275__RegGPRC1_0, 0, { MCK_RegGPRC }, }, { 6291 /* mtsprg4 */, PPC::MTSPR, Convert__imm_95_260__RegGPRC1_0, 0, { MCK_RegGPRC }, }, { 6299 /* mtsprg5 */, PPC::MTSPR, Convert__imm_95_261__RegGPRC1_0, 0, { MCK_RegGPRC }, }, { 6307 /* mtsprg6 */, PPC::MTSPR, Convert__imm_95_262__RegGPRC1_0, 0, { MCK_RegGPRC }, }, { 6315 /* mtsprg7 */, PPC::MTSPR, Convert__imm_95_263__RegGPRC1_0, 0, { MCK_RegGPRC }, }, { 6323 /* mtsr */, PPC::MTSR, Convert__RegGPRC1_1__U4Imm1_0, 0, { MCK_U4Imm, MCK_RegGPRC }, }, { 6328 /* mtsrin */, PPC::MTSRIN, Convert__RegGPRC1_0__RegGPRC1_1, 0, { MCK_RegGPRC, MCK_RegGPRC }, }, { 6335 /* mtsrr0 */, PPC::MTSPR, Convert__imm_95_26__RegGPRC1_0, 0, { MCK_RegGPRC }, }, { 6335 /* mtsrr0 */, PPC::MTSPR, Convert__imm_95_26__RegGPRC1_0, 0, { MCK_RegGPRC }, }, { 6342 /* mtsrr1 */, PPC::MTSPR, Convert__imm_95_27__RegGPRC1_0, 0, { MCK_RegGPRC }, }, { 6342 /* mtsrr1 */, PPC::MTSPR, Convert__imm_95_27__RegGPRC1_0, 0, { MCK_RegGPRC }, }, { 6349 /* mtsrr2 */, PPC::MTSPR, Convert__imm_95_990__RegGPRC1_0, 0, { MCK_RegGPRC }, }, { 6356 /* mtsrr3 */, PPC::MTSPR, Convert__imm_95_991__RegGPRC1_0, 0, { MCK_RegGPRC }, }, { 6363 /* mttbhi */, PPC::MTSPR, Convert__imm_95_988__RegGPRC1_0, 0, { MCK_RegGPRC }, }, { 6370 /* mttbl */, PPC::MTSPR, Convert__imm_95_284__RegGPRC1_0, 0, { MCK_RegGPRC }, }, { 6376 /* mttblo */, PPC::MTSPR, Convert__imm_95_989__RegGPRC1_0, 0, { MCK_RegGPRC }, }, { 6383 /* mttbu */, PPC::MTSPR, Convert__imm_95_285__RegGPRC1_0, 0, { MCK_RegGPRC }, }, { 6389 /* mttcr */, PPC::MTSPR, Convert__imm_95_986__RegGPRC1_0, 0, { MCK_RegGPRC }, }, { 6395 /* mtvscr */, PPC::MTVSCR, Convert__RegVRRC1_0, 0, { MCK_RegVRRC }, }, { 6402 /* mtvsrd */, PPC::MTVSRD, Convert__RegVSFRC1_0__RegG8RC1_1, 0, { MCK_RegVSFRC, MCK_RegG8RC }, }, { 6409 /* mtvsrwa */, PPC::MTVSRWA, Convert__RegVSFRC1_0__RegGPRC1_1, 0, { MCK_RegVSFRC, MCK_RegGPRC }, }, { 6417 /* mtvsrwz */, PPC::MTVSRWZ, Convert__RegVSFRC1_0__RegGPRC1_1, 0, { MCK_RegVSFRC, MCK_RegGPRC }, }, { 6425 /* mtxer */, PPC::MTSPR, Convert__imm_95_1__RegGPRC1_0, 0, { MCK_RegGPRC }, }, { 6431 /* mulhd */, PPC::MULHD, Convert__RegG8RC1_0__RegG8RC1_1__RegG8RC1_2, 0, { MCK_RegG8RC, MCK_RegG8RC, MCK_RegG8RC }, }, { 6431 /* mulhd */, PPC::MULHDo, Convert__RegG8RC1_1__RegG8RC1_2__RegG8RC1_3, 0, { MCK__DOT_, MCK_RegG8RC, MCK_RegG8RC, MCK_RegG8RC }, }, { 6437 /* mulhdu */, PPC::MULHDU, Convert__RegG8RC1_0__RegG8RC1_1__RegG8RC1_2, 0, { MCK_RegG8RC, MCK_RegG8RC, MCK_RegG8RC }, }, { 6437 /* mulhdu */, PPC::MULHDUo, Convert__RegG8RC1_1__RegG8RC1_2__RegG8RC1_3, 0, { MCK__DOT_, MCK_RegG8RC, MCK_RegG8RC, MCK_RegG8RC }, }, { 6444 /* mulhw */, PPC::MULHW, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, }, { 6444 /* mulhw */, PPC::MULHWo, Convert__RegGPRC1_1__RegGPRC1_2__RegGPRC1_3, 0, { MCK__DOT_, MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, }, { 6450 /* mulhwu */, PPC::MULHWU, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, }, { 6450 /* mulhwu */, PPC::MULHWUo, Convert__RegGPRC1_1__RegGPRC1_2__RegGPRC1_3, 0, { MCK__DOT_, MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, }, { 6457 /* mulld */, PPC::MULLD, Convert__RegG8RC1_0__RegG8RC1_1__RegG8RC1_2, 0, { MCK_RegG8RC, MCK_RegG8RC, MCK_RegG8RC }, }, { 6457 /* mulld */, PPC::MULLDo, Convert__RegG8RC1_1__RegG8RC1_2__RegG8RC1_3, 0, { MCK__DOT_, MCK_RegG8RC, MCK_RegG8RC, MCK_RegG8RC }, }, { 6463 /* mulli */, PPC::MULLI, Convert__RegGPRC1_0__RegGPRC1_1__S16Imm1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_S16Imm }, }, { 6469 /* mullw */, PPC::MULLW, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, }, { 6469 /* mullw */, PPC::MULLWo, Convert__RegGPRC1_1__RegGPRC1_2__RegGPRC1_3, 0, { MCK__DOT_, MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, }, { 6475 /* nand */, PPC::NAND, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, }, { 6475 /* nand */, PPC::NANDo, Convert__RegGPRC1_1__RegGPRC1_2__RegGPRC1_3, 0, { MCK__DOT_, MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, }, { 6480 /* neg */, PPC::NEG, Convert__RegGPRC1_0__RegGPRC1_1, 0, { MCK_RegGPRC, MCK_RegGPRC }, }, { 6480 /* neg */, PPC::NEGo, Convert__RegGPRC1_1__RegGPRC1_2, 0, { MCK__DOT_, MCK_RegGPRC, MCK_RegGPRC }, }, { 6484 /* nop */, PPC::NOP, Convert_NoOperands, 0, { }, }, { 6488 /* nor */, PPC::NOR, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, }, { 6488 /* nor */, PPC::NORo, Convert__RegGPRC1_1__RegGPRC1_2__RegGPRC1_3, 0, { MCK__DOT_, MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, }, { 6492 /* not */, PPC::NOR8, Convert__RegG8RC1_0__RegG8RC1_1__RegG8RC1_1, 0, { MCK_RegG8RC, MCK_RegG8RC }, }, { 6492 /* not */, PPC::NOR8o, Convert__RegG8RC1_1__RegG8RC1_2__RegG8RC1_2, 0, { MCK__DOT_, MCK_RegG8RC, MCK_RegG8RC }, }, { 6496 /* or */, PPC::OR, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, }, { 6496 /* or */, PPC::ORo, Convert__RegGPRC1_1__RegGPRC1_2__RegGPRC1_3, 0, { MCK__DOT_, MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, }, { 6499 /* orc */, PPC::ORC, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, }, { 6499 /* orc */, PPC::ORCo, Convert__RegGPRC1_1__RegGPRC1_2__RegGPRC1_3, 0, { MCK__DOT_, MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, }, { 6503 /* ori */, PPC::ORI, Convert__RegGPRC1_0__RegGPRC1_1__U16Imm1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_U16Imm }, }, { 6507 /* oris */, PPC::ORIS, Convert__RegGPRC1_0__RegGPRC1_1__U16Imm1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_U16Imm }, }, { 6512 /* popcntd */, PPC::POPCNTD, Convert__RegG8RC1_0__RegG8RC1_1, 0, { MCK_RegG8RC, MCK_RegG8RC }, }, { 6520 /* popcntw */, PPC::POPCNTW, Convert__RegGPRC1_0__RegGPRC1_1, 0, { MCK_RegGPRC, MCK_RegGPRC }, }, { 6528 /* ptesync */, PPC::SYNC, Convert__imm_95_2, 0, { }, }, { 6536 /* qvaligni */, PPC::QVALIGNI, Convert__RegQFRC1_0__RegQFRC1_1__RegQFRC1_2__U2Imm1_3, 0, { MCK_RegQFRC, MCK_RegQFRC, MCK_RegQFRC, MCK_U2Imm }, }, { 6545 /* qvesplati */, PPC::QVESPLATI, Convert__RegQFRC1_0__RegQFRC1_1__U2Imm1_2, 0, { MCK_RegQFRC, MCK_RegQFRC, MCK_U2Imm }, }, { 6555 /* qvfabs */, PPC::QVFABS, Convert__RegQFRC1_0__RegQFRC1_1, 0, { MCK_RegQFRC, MCK_RegQFRC }, }, { 6562 /* qvfadd */, PPC::QVFADD, Convert__RegQFRC1_0__RegQFRC1_1__RegQFRC1_2, 0, { MCK_RegQFRC, MCK_RegQFRC, MCK_RegQFRC }, }, { 6569 /* qvfadds */, PPC::QVFADDSs, Convert__RegQSRC1_0__RegQSRC1_1__RegQSRC1_2, 0, { MCK_RegQSRC, MCK_RegQSRC, MCK_RegQSRC }, }, { 6577 /* qvfand */, PPC::QVFLOGICALb, Convert__RegQBRC1_0__RegQBRC1_1__RegQBRC1_2__imm_95_1, 0, { MCK_RegQBRC, MCK_RegQBRC, MCK_RegQBRC }, }, { 6584 /* qvfandc */, PPC::QVFLOGICALb, Convert__RegQBRC1_0__RegQBRC1_1__RegQBRC1_2__imm_95_4, 0, { MCK_RegQBRC, MCK_RegQBRC, MCK_RegQBRC }, }, { 6592 /* qvfcfid */, PPC::QVFCFID, Convert__RegQFRC1_0__RegQFRC1_1, 0, { MCK_RegQFRC, MCK_RegQFRC }, }, { 6600 /* qvfcfids */, PPC::QVFCFIDS, Convert__RegQFRC1_0__RegQFRC1_1, 0, { MCK_RegQFRC, MCK_RegQFRC }, }, { 6609 /* qvfcfidu */, PPC::QVFCFIDU, Convert__RegQFRC1_0__RegQFRC1_1, 0, { MCK_RegQFRC, MCK_RegQFRC }, }, { 6618 /* qvfcfidus */, PPC::QVFCFIDUS, Convert__RegQFRC1_0__RegQFRC1_1, 0, { MCK_RegQFRC, MCK_RegQFRC }, }, { 6628 /* qvfclr */, PPC::QVFLOGICALb, Convert__RegQBRC1_0__RegQBRC1_0__RegQBRC1_0__imm_95_0, 0, { MCK_RegQBRC }, }, { 6635 /* qvfcmpeq */, PPC::QVFCMPEQb, Convert__RegQBRC1_0__RegQFRC1_1__RegQFRC1_2, 0, { MCK_RegQBRC, MCK_RegQFRC, MCK_RegQFRC }, }, { 6644 /* qvfcmpgt */, PPC::QVFCMPGTb, Convert__RegQBRC1_0__RegQFRC1_1__RegQFRC1_2, 0, { MCK_RegQBRC, MCK_RegQFRC, MCK_RegQFRC }, }, { 6653 /* qvfcmplt */, PPC::QVFCMPLTb, Convert__RegQBRC1_0__RegQFRC1_1__RegQFRC1_2, 0, { MCK_RegQBRC, MCK_RegQFRC, MCK_RegQFRC }, }, { 6662 /* qvfcpsgn */, PPC::QVFCPSGN, Convert__RegQFRC1_0__RegQFRC1_1__RegQFRC1_2, 0, { MCK_RegQFRC, MCK_RegQFRC, MCK_RegQFRC }, }, { 6671 /* qvfctfb */, PPC::QVFLOGICALb, Convert__RegQBRC1_0__RegQBRC1_1__RegQBRC1_1__imm_95_5, 0, { MCK_RegQBRC, MCK_RegQBRC }, }, { 6679 /* qvfctid */, PPC::QVFCTID, Convert__RegQFRC1_0__RegQFRC1_1, 0, { MCK_RegQFRC, MCK_RegQFRC }, }, { 6687 /* qvfctidu */, PPC::QVFCTIDU, Convert__RegQFRC1_0__RegQFRC1_1, 0, { MCK_RegQFRC, MCK_RegQFRC }, }, { 6696 /* qvfctiduz */, PPC::QVFCTIDUZ, Convert__RegQFRC1_0__RegQFRC1_1, 0, { MCK_RegQFRC, MCK_RegQFRC }, }, { 6706 /* qvfctidz */, PPC::QVFCTIDZ, Convert__RegQFRC1_0__RegQFRC1_1, 0, { MCK_RegQFRC, MCK_RegQFRC }, }, { 6715 /* qvfctiw */, PPC::QVFCTIW, Convert__RegQFRC1_0__RegQFRC1_1, 0, { MCK_RegQFRC, MCK_RegQFRC }, }, { 6723 /* qvfctiwu */, PPC::QVFCTIWU, Convert__RegQFRC1_0__RegQFRC1_1, 0, { MCK_RegQFRC, MCK_RegQFRC }, }, { 6732 /* qvfctiwuz */, PPC::QVFCTIWUZ, Convert__RegQFRC1_0__RegQFRC1_1, 0, { MCK_RegQFRC, MCK_RegQFRC }, }, { 6742 /* qvfctiwz */, PPC::QVFCTIWZ, Convert__RegQFRC1_0__RegQFRC1_1, 0, { MCK_RegQFRC, MCK_RegQFRC }, }, { 6751 /* qvfequ */, PPC::QVFLOGICALb, Convert__RegQBRC1_0__RegQBRC1_1__RegQBRC1_2__imm_95_9, 0, { MCK_RegQBRC, MCK_RegQBRC, MCK_RegQBRC }, }, { 6758 /* qvflogical */, PPC::QVFLOGICALb, Convert__RegQBRC1_0__RegQBRC1_1__RegQBRC1_2__U12Imm1_3, 0, { MCK_RegQBRC, MCK_RegQBRC, MCK_RegQBRC, MCK_U12Imm }, }, { 6769 /* qvfmadd */, PPC::QVFMADD, Convert__RegQFRC1_0__RegQFRC1_1__RegQFRC1_3__RegQFRC1_2, 0, { MCK_RegQFRC, MCK_RegQFRC, MCK_RegQFRC, MCK_RegQFRC }, }, { 6777 /* qvfmadds */, PPC::QVFMADDSs, Convert__RegQSRC1_0__RegQSRC1_1__RegQSRC1_3__RegQSRC1_2, 0, { MCK_RegQSRC, MCK_RegQSRC, MCK_RegQSRC, MCK_RegQSRC }, }, { 6786 /* qvfmr */, PPC::QVFMR, Convert__RegQFRC1_0__RegQFRC1_1, 0, { MCK_RegQFRC, MCK_RegQFRC }, }, { 6792 /* qvfmsub */, PPC::QVFMSUB, Convert__RegQFRC1_0__RegQFRC1_1__RegQFRC1_3__RegQFRC1_2, 0, { MCK_RegQFRC, MCK_RegQFRC, MCK_RegQFRC, MCK_RegQFRC }, }, { 6800 /* qvfmsubs */, PPC::QVFMSUBSs, Convert__RegQSRC1_0__RegQSRC1_1__RegQSRC1_3__RegQSRC1_2, 0, { MCK_RegQSRC, MCK_RegQSRC, MCK_RegQSRC, MCK_RegQSRC }, }, { 6809 /* qvfmul */, PPC::QVFMUL, Convert__RegQFRC1_0__RegQFRC1_1__RegQFRC1_2, 0, { MCK_RegQFRC, MCK_RegQFRC, MCK_RegQFRC }, }, { 6816 /* qvfmuls */, PPC::QVFMULSs, Convert__RegQSRC1_0__RegQSRC1_1__RegQSRC1_2, 0, { MCK_RegQSRC, MCK_RegQSRC, MCK_RegQSRC }, }, { 6824 /* qvfnabs */, PPC::QVFNABS, Convert__RegQFRC1_0__RegQFRC1_1, 0, { MCK_RegQFRC, MCK_RegQFRC }, }, { 6832 /* qvfnand */, PPC::QVFLOGICALb, Convert__RegQBRC1_0__RegQBRC1_1__RegQBRC1_2__imm_95_14, 0, { MCK_RegQBRC, MCK_RegQBRC, MCK_RegQBRC }, }, { 6840 /* qvfneg */, PPC::QVFNEG, Convert__RegQFRC1_0__RegQFRC1_1, 0, { MCK_RegQFRC, MCK_RegQFRC }, }, { 6847 /* qvfnmadd */, PPC::QVFNMADD, Convert__RegQFRC1_0__RegQFRC1_1__RegQFRC1_3__RegQFRC1_2, 0, { MCK_RegQFRC, MCK_RegQFRC, MCK_RegQFRC, MCK_RegQFRC }, }, { 6856 /* qvfnmadds */, PPC::QVFNMADDSs, Convert__RegQSRC1_0__RegQSRC1_1__RegQSRC1_3__RegQSRC1_2, 0, { MCK_RegQSRC, MCK_RegQSRC, MCK_RegQSRC, MCK_RegQSRC }, }, { 6866 /* qvfnmsub */, PPC::QVFNMSUB, Convert__RegQFRC1_0__RegQFRC1_1__RegQFRC1_3__RegQFRC1_2, 0, { MCK_RegQFRC, MCK_RegQFRC, MCK_RegQFRC, MCK_RegQFRC }, }, { 6875 /* qvfnmsubs */, PPC::QVFNMSUBSs, Convert__RegQSRC1_0__RegQSRC1_1__RegQSRC1_3__RegQSRC1_2, 0, { MCK_RegQSRC, MCK_RegQSRC, MCK_RegQSRC, MCK_RegQSRC }, }, { 6885 /* qvfnor */, PPC::QVFLOGICALb, Convert__RegQBRC1_0__RegQBRC1_1__RegQBRC1_2__imm_95_8, 0, { MCK_RegQBRC, MCK_RegQBRC, MCK_RegQBRC }, }, { 6892 /* qvfnot */, PPC::QVFLOGICALb, Convert__RegQBRC1_0__RegQBRC1_1__RegQBRC1_1__imm_95_10, 0, { MCK_RegQBRC, MCK_RegQBRC }, }, { 6899 /* qvfor */, PPC::QVFLOGICALb, Convert__RegQBRC1_0__RegQBRC1_1__RegQBRC1_2__imm_95_7, 0, { MCK_RegQBRC, MCK_RegQBRC, MCK_RegQBRC }, }, { 6905 /* qvforc */, PPC::QVFLOGICALb, Convert__RegQBRC1_0__RegQBRC1_1__RegQBRC1_2__imm_95_13, 0, { MCK_RegQBRC, MCK_RegQBRC, MCK_RegQBRC }, }, { 6912 /* qvfperm */, PPC::QVFPERM, Convert__RegQFRC1_0__RegQFRC1_1__RegQFRC1_2__RegQFRC1_3, 0, { MCK_RegQFRC, MCK_RegQFRC, MCK_RegQFRC, MCK_RegQFRC }, }, { 6920 /* qvfre */, PPC::QVFRE, Convert__RegQFRC1_0__RegQFRC1_1, 0, { MCK_RegQFRC, MCK_RegQFRC }, }, { 6926 /* qvfres */, PPC::QVFRES, Convert__RegQFRC1_0__RegQFRC1_1, 0, { MCK_RegQFRC, MCK_RegQFRC }, }, { 6933 /* qvfrim */, PPC::QVFRIM, Convert__RegQFRC1_0__RegQFRC1_1, 0, { MCK_RegQFRC, MCK_RegQFRC }, }, { 6940 /* qvfrin */, PPC::QVFRIN, Convert__RegQFRC1_0__RegQFRC1_1, 0, { MCK_RegQFRC, MCK_RegQFRC }, }, { 6947 /* qvfrip */, PPC::QVFRIP, Convert__RegQFRC1_0__RegQFRC1_1, 0, { MCK_RegQFRC, MCK_RegQFRC }, }, { 6954 /* qvfriz */, PPC::QVFRIZ, Convert__RegQFRC1_0__RegQFRC1_1, 0, { MCK_RegQFRC, MCK_RegQFRC }, }, { 6961 /* qvfrsp */, PPC::QVFRSPs, Convert__RegQSRC1_0__RegQFRC1_1, 0, { MCK_RegQSRC, MCK_RegQFRC }, }, { 6968 /* qvfrsqrte */, PPC::QVFRSQRTE, Convert__RegQFRC1_0__RegQFRC1_1, 0, { MCK_RegQFRC, MCK_RegQFRC }, }, { 6978 /* qvfrsqrtes */, PPC::QVFRSQRTES, Convert__RegQFRC1_0__RegQFRC1_1, 0, { MCK_RegQFRC, MCK_RegQFRC }, }, { 6989 /* qvfsel */, PPC::QVFSELb, Convert__RegQFRC1_0__RegQBRC1_1__RegQFRC1_3__RegQFRC1_2, 0, { MCK_RegQFRC, MCK_RegQBRC, MCK_RegQFRC, MCK_RegQFRC }, }, { 6996 /* qvfset */, PPC::QVFLOGICALb, Convert__RegQBRC1_0__RegQBRC1_0__RegQBRC1_0__imm_95_15, 0, { MCK_RegQBRC }, }, { 7003 /* qvfsub */, PPC::QVFSUB, Convert__RegQFRC1_0__RegQFRC1_1__RegQFRC1_2, 0, { MCK_RegQFRC, MCK_RegQFRC, MCK_RegQFRC }, }, { 7010 /* qvfsubs */, PPC::QVFSUBSs, Convert__RegQSRC1_0__RegQSRC1_1__RegQSRC1_2, 0, { MCK_RegQSRC, MCK_RegQSRC, MCK_RegQSRC }, }, { 7018 /* qvftstnan */, PPC::QVFTSTNANb, Convert__RegQBRC1_0__RegQFRC1_1__RegQFRC1_2, 0, { MCK_RegQBRC, MCK_RegQFRC, MCK_RegQFRC }, }, { 7028 /* qvfxmadd */, PPC::QVFXMADD, Convert__RegQFRC1_0__RegQFRC1_1__RegQFRC1_3__RegQFRC1_2, 0, { MCK_RegQFRC, MCK_RegQFRC, MCK_RegQFRC, MCK_RegQFRC }, }, { 7037 /* qvfxmadds */, PPC::QVFXMADDS, Convert__RegQFRC1_0__RegQFRC1_1__RegQFRC1_3__RegQFRC1_2, 0, { MCK_RegQFRC, MCK_RegQFRC, MCK_RegQFRC, MCK_RegQFRC }, }, { 7047 /* qvfxmul */, PPC::QVFXMUL, Convert__RegQFRC1_0__RegQFRC1_1__RegQFRC1_2, 0, { MCK_RegQFRC, MCK_RegQFRC, MCK_RegQFRC }, }, { 7055 /* qvfxmuls */, PPC::QVFXMULS, Convert__RegQFRC1_0__RegQFRC1_1__RegQFRC1_2, 0, { MCK_RegQFRC, MCK_RegQFRC, MCK_RegQFRC }, }, { 7064 /* qvfxor */, PPC::QVFLOGICALb, Convert__RegQBRC1_0__RegQBRC1_1__RegQBRC1_2__imm_95_6, 0, { MCK_RegQBRC, MCK_RegQBRC, MCK_RegQBRC }, }, { 7071 /* qvfxxcpnmadd */, PPC::QVFXXCPNMADD, Convert__RegQFRC1_0__RegQFRC1_1__RegQFRC1_3__RegQFRC1_2, 0, { MCK_RegQFRC, MCK_RegQFRC, MCK_RegQFRC, MCK_RegQFRC }, }, { 7084 /* qvfxxcpnmadds */, PPC::QVFXXCPNMADDS, Convert__RegQFRC1_0__RegQFRC1_1__RegQFRC1_3__RegQFRC1_2, 0, { MCK_RegQFRC, MCK_RegQFRC, MCK_RegQFRC, MCK_RegQFRC }, }, { 7098 /* qvfxxmadd */, PPC::QVFXXMADD, Convert__RegQFRC1_0__RegQFRC1_1__RegQFRC1_3__RegQFRC1_2, 0, { MCK_RegQFRC, MCK_RegQFRC, MCK_RegQFRC, MCK_RegQFRC }, }, { 7108 /* qvfxxmadds */, PPC::QVFXXMADDS, Convert__RegQFRC1_0__RegQFRC1_1__RegQFRC1_3__RegQFRC1_2, 0, { MCK_RegQFRC, MCK_RegQFRC, MCK_RegQFRC, MCK_RegQFRC }, }, { 7119 /* qvfxxnpmadd */, PPC::QVFXXNPMADD, Convert__RegQFRC1_0__RegQFRC1_1__RegQFRC1_3__RegQFRC1_2, 0, { MCK_RegQFRC, MCK_RegQFRC, MCK_RegQFRC, MCK_RegQFRC }, }, { 7131 /* qvfxxnpmadds */, PPC::QVFXXNPMADDS, Convert__RegQFRC1_0__RegQFRC1_1__RegQFRC1_3__RegQFRC1_2, 0, { MCK_RegQFRC, MCK_RegQFRC, MCK_RegQFRC, MCK_RegQFRC }, }, { 7144 /* qvgpci */, PPC::QVGPCI, Convert__RegQFRC1_0__U12Imm1_1, 0, { MCK_RegQFRC, MCK_U12Imm }, }, { 7151 /* qvlfcdux */, PPC::QVLFCDUX, Convert__RegQFRC1_0__RegGxRCNoR01_1__RegGxRC1_2, 0, { MCK_RegQFRC, MCK_RegGxRCNoR0, MCK_RegGxRC }, }, { 7160 /* qvlfcduxa */, PPC::QVLFCDUXA, Convert__RegQFRC1_0__RegGxRCNoR01_1__RegGxRC1_2, 0, { MCK_RegQFRC, MCK_RegGxRCNoR0, MCK_RegGxRC }, }, { 7170 /* qvlfcdx */, PPC::QVLFCDX, Convert__RegQFRC1_0__RegGxRCNoR01_1__RegGxRC1_2, 0, { MCK_RegQFRC, MCK_RegGxRCNoR0, MCK_RegGxRC }, }, { 7178 /* qvlfcdxa */, PPC::QVLFCDXA, Convert__RegQFRC1_0__RegGxRCNoR01_1__RegGxRC1_2, 0, { MCK_RegQFRC, MCK_RegGxRCNoR0, MCK_RegGxRC }, }, { 7187 /* qvlfcsux */, PPC::QVLFCSUX, Convert__RegQFRC1_0__RegGxRCNoR01_1__RegGxRC1_2, 0, { MCK_RegQFRC, MCK_RegGxRCNoR0, MCK_RegGxRC }, }, { 7196 /* qvlfcsuxa */, PPC::QVLFCSUXA, Convert__RegQFRC1_0__RegGxRCNoR01_1__RegGxRC1_2, 0, { MCK_RegQFRC, MCK_RegGxRCNoR0, MCK_RegGxRC }, }, { 7206 /* qvlfcsx */, PPC::QVLFCSX, Convert__RegQFRC1_0__RegGxRCNoR01_1__RegGxRC1_2, 0, { MCK_RegQFRC, MCK_RegGxRCNoR0, MCK_RegGxRC }, }, { 7214 /* qvlfcsxa */, PPC::QVLFCSXA, Convert__RegQFRC1_0__RegGxRCNoR01_1__RegGxRC1_2, 0, { MCK_RegQFRC, MCK_RegGxRCNoR0, MCK_RegGxRC }, }, { 7223 /* qvlfdux */, PPC::QVLFDUX, Convert__RegQFRC1_0__imm_95_0__RegGxRCNoR01_1__RegGxRC1_2, 0, { MCK_RegQFRC, MCK_RegGxRCNoR0, MCK_RegGxRC }, }, { 7231 /* qvlfduxa */, PPC::QVLFDUXA, Convert__RegQFRC1_0__RegGxRCNoR01_1__RegGxRC1_2, 0, { MCK_RegQFRC, MCK_RegGxRCNoR0, MCK_RegGxRC }, }, { 7240 /* qvlfdx */, PPC::QVLFDX, Convert__RegQFRC1_0__RegGxRCNoR01_1__RegGxRC1_2, 0, { MCK_RegQFRC, MCK_RegGxRCNoR0, MCK_RegGxRC }, }, { 7247 /* qvlfdxa */, PPC::QVLFDXA, Convert__RegQFRC1_0__RegGxRCNoR01_1__RegGxRC1_2, 0, { MCK_RegQFRC, MCK_RegGxRCNoR0, MCK_RegGxRC }, }, { 7255 /* qvlfiwax */, PPC::QVLFIWAX, Convert__RegQFRC1_0__RegGxRCNoR01_1__RegGxRC1_2, 0, { MCK_RegQFRC, MCK_RegGxRCNoR0, MCK_RegGxRC }, }, { 7264 /* qvlfiwaxa */, PPC::QVLFIWAXA, Convert__RegQFRC1_0__RegGxRCNoR01_1__RegGxRC1_2, 0, { MCK_RegQFRC, MCK_RegGxRCNoR0, MCK_RegGxRC }, }, { 7274 /* qvlfiwzx */, PPC::QVLFIWZX, Convert__RegQFRC1_0__RegGxRCNoR01_1__RegGxRC1_2, 0, { MCK_RegQFRC, MCK_RegGxRCNoR0, MCK_RegGxRC }, }, { 7283 /* qvlfiwzxa */, PPC::QVLFIWZXA, Convert__RegQFRC1_0__RegGxRCNoR01_1__RegGxRC1_2, 0, { MCK_RegQFRC, MCK_RegGxRCNoR0, MCK_RegGxRC }, }, { 7293 /* qvlfsux */, PPC::QVLFSUX, Convert__RegQSRC1_0__imm_95_0__RegGxRCNoR01_1__RegGxRC1_2, 0, { MCK_RegQSRC, MCK_RegGxRCNoR0, MCK_RegGxRC }, }, { 7301 /* qvlfsuxa */, PPC::QVLFSUXA, Convert__RegQFRC1_0__RegGxRCNoR01_1__RegGxRC1_2, 0, { MCK_RegQFRC, MCK_RegGxRCNoR0, MCK_RegGxRC }, }, { 7310 /* qvlfsx */, PPC::QVLFSX, Convert__RegQFRC1_0__RegGxRCNoR01_1__RegGxRC1_2, 0, { MCK_RegQFRC, MCK_RegGxRCNoR0, MCK_RegGxRC }, }, { 7317 /* qvlfsxa */, PPC::QVLFSXA, Convert__RegQFRC1_0__RegGxRCNoR01_1__RegGxRC1_2, 0, { MCK_RegQFRC, MCK_RegGxRCNoR0, MCK_RegGxRC }, }, { 7325 /* qvlpcldx */, PPC::QVLPCLDX, Convert__RegQFRC1_0__RegGxRCNoR01_1__RegGxRC1_2, 0, { MCK_RegQFRC, MCK_RegGxRCNoR0, MCK_RegGxRC }, }, { 7334 /* qvlpclsx */, PPC::QVLPCLSX, Convert__RegQFRC1_0__RegGxRCNoR01_1__RegGxRC1_2, 0, { MCK_RegQFRC, MCK_RegGxRCNoR0, MCK_RegGxRC }, }, { 7343 /* qvlpcrdx */, PPC::QVLPCRDX, Convert__RegQFRC1_0__RegGxRCNoR01_1__RegGxRC1_2, 0, { MCK_RegQFRC, MCK_RegGxRCNoR0, MCK_RegGxRC }, }, { 7352 /* qvlpcrsx */, PPC::QVLPCRSX, Convert__RegQFRC1_0__RegGxRCNoR01_1__RegGxRC1_2, 0, { MCK_RegQFRC, MCK_RegGxRCNoR0, MCK_RegGxRC }, }, { 7361 /* qvstfcdux */, PPC::QVSTFCDUX, Convert__RegQFRC1_0__RegGxRCNoR01_1__RegGxRC1_2, 0, { MCK_RegQFRC, MCK_RegGxRCNoR0, MCK_RegGxRC }, }, { 7371 /* qvstfcduxa */, PPC::QVSTFCDUXA, Convert__RegQFRC1_0__RegGxRCNoR01_1__RegGxRC1_2, 0, { MCK_RegQFRC, MCK_RegGxRCNoR0, MCK_RegGxRC }, }, { 7382 /* qvstfcduxi */, PPC::QVSTFCDUXI, Convert__RegQFRC1_0__RegGxRCNoR01_1__RegGxRC1_2, 0, { MCK_RegQFRC, MCK_RegGxRCNoR0, MCK_RegGxRC }, }, { 7393 /* qvstfcduxia */, PPC::QVSTFCDUXIA, Convert__RegQFRC1_0__RegGxRCNoR01_1__RegGxRC1_2, 0, { MCK_RegQFRC, MCK_RegGxRCNoR0, MCK_RegGxRC }, }, { 7405 /* qvstfcdx */, PPC::QVSTFCDX, Convert__RegQFRC1_0__RegGxRCNoR01_1__RegGxRC1_2, 0, { MCK_RegQFRC, MCK_RegGxRCNoR0, MCK_RegGxRC }, }, { 7414 /* qvstfcdxa */, PPC::QVSTFCDXA, Convert__RegQFRC1_0__RegGxRCNoR01_1__RegGxRC1_2, 0, { MCK_RegQFRC, MCK_RegGxRCNoR0, MCK_RegGxRC }, }, { 7424 /* qvstfcdxi */, PPC::QVSTFCDXI, Convert__RegQFRC1_0__RegGxRCNoR01_1__RegGxRC1_2, 0, { MCK_RegQFRC, MCK_RegGxRCNoR0, MCK_RegGxRC }, }, { 7434 /* qvstfcdxia */, PPC::QVSTFCDXIA, Convert__RegQFRC1_0__RegGxRCNoR01_1__RegGxRC1_2, 0, { MCK_RegQFRC, MCK_RegGxRCNoR0, MCK_RegGxRC }, }, { 7445 /* qvstfcsux */, PPC::QVSTFCSUX, Convert__RegQFRC1_0__RegGxRCNoR01_1__RegGxRC1_2, 0, { MCK_RegQFRC, MCK_RegGxRCNoR0, MCK_RegGxRC }, }, { 7455 /* qvstfcsuxa */, PPC::QVSTFCSUXA, Convert__RegQFRC1_0__RegGxRCNoR01_1__RegGxRC1_2, 0, { MCK_RegQFRC, MCK_RegGxRCNoR0, MCK_RegGxRC }, }, { 7466 /* qvstfcsuxi */, PPC::QVSTFCSUXI, Convert__RegQFRC1_0__RegGxRCNoR01_1__RegGxRC1_2, 0, { MCK_RegQFRC, MCK_RegGxRCNoR0, MCK_RegGxRC }, }, { 7477 /* qvstfcsuxia */, PPC::QVSTFCSUXIA, Convert__RegQFRC1_0__RegGxRCNoR01_1__RegGxRC1_2, 0, { MCK_RegQFRC, MCK_RegGxRCNoR0, MCK_RegGxRC }, }, { 7489 /* qvstfcsx */, PPC::QVSTFCSX, Convert__RegQFRC1_0__RegGxRCNoR01_1__RegGxRC1_2, 0, { MCK_RegQFRC, MCK_RegGxRCNoR0, MCK_RegGxRC }, }, { 7498 /* qvstfcsxa */, PPC::QVSTFCSXA, Convert__RegQFRC1_0__RegGxRCNoR01_1__RegGxRC1_2, 0, { MCK_RegQFRC, MCK_RegGxRCNoR0, MCK_RegGxRC }, }, { 7508 /* qvstfcsxi */, PPC::QVSTFCSXI, Convert__RegQFRC1_0__RegGxRCNoR01_1__RegGxRC1_2, 0, { MCK_RegQFRC, MCK_RegGxRCNoR0, MCK_RegGxRC }, }, { 7518 /* qvstfcsxia */, PPC::QVSTFCSXIA, Convert__RegQFRC1_0__RegGxRCNoR01_1__RegGxRC1_2, 0, { MCK_RegQFRC, MCK_RegGxRCNoR0, MCK_RegGxRC }, }, { 7529 /* qvstfdux */, PPC::QVSTFDUX, Convert__imm_95_0__RegQFRC1_0__RegGxRCNoR01_1__RegGxRC1_2, 0, { MCK_RegQFRC, MCK_RegGxRCNoR0, MCK_RegGxRC }, }, { 7538 /* qvstfduxa */, PPC::QVSTFDUXA, Convert__RegQFRC1_0__RegGxRCNoR01_1__RegGxRC1_2, 0, { MCK_RegQFRC, MCK_RegGxRCNoR0, MCK_RegGxRC }, }, { 7548 /* qvstfduxi */, PPC::QVSTFDUXI, Convert__RegQFRC1_0__RegGxRCNoR01_1__RegGxRC1_2, 0, { MCK_RegQFRC, MCK_RegGxRCNoR0, MCK_RegGxRC }, }, { 7558 /* qvstfduxia */, PPC::QVSTFDUXIA, Convert__RegQFRC1_0__RegGxRCNoR01_1__RegGxRC1_2, 0, { MCK_RegQFRC, MCK_RegGxRCNoR0, MCK_RegGxRC }, }, { 7569 /* qvstfdx */, PPC::QVSTFDX, Convert__RegQFRC1_0__RegGxRCNoR01_1__RegGxRC1_2, 0, { MCK_RegQFRC, MCK_RegGxRCNoR0, MCK_RegGxRC }, }, { 7577 /* qvstfdxa */, PPC::QVSTFDXA, Convert__RegQFRC1_0__RegGxRCNoR01_1__RegGxRC1_2, 0, { MCK_RegQFRC, MCK_RegGxRCNoR0, MCK_RegGxRC }, }, { 7586 /* qvstfdxi */, PPC::QVSTFDXI, Convert__RegQFRC1_0__RegGxRCNoR01_1__RegGxRC1_2, 0, { MCK_RegQFRC, MCK_RegGxRCNoR0, MCK_RegGxRC }, }, { 7595 /* qvstfdxia */, PPC::QVSTFDXIA, Convert__RegQFRC1_0__RegGxRCNoR01_1__RegGxRC1_2, 0, { MCK_RegQFRC, MCK_RegGxRCNoR0, MCK_RegGxRC }, }, { 7605 /* qvstfiwx */, PPC::QVSTFIWX, Convert__RegQFRC1_0__RegGxRCNoR01_1__RegGxRC1_2, 0, { MCK_RegQFRC, MCK_RegGxRCNoR0, MCK_RegGxRC }, }, { 7614 /* qvstfiwxa */, PPC::QVSTFIWXA, Convert__RegQFRC1_0__RegGxRCNoR01_1__RegGxRC1_2, 0, { MCK_RegQFRC, MCK_RegGxRCNoR0, MCK_RegGxRC }, }, { 7624 /* qvstfsux */, PPC::QVSTFSUX, Convert__imm_95_0__RegQSRC1_0__RegGxRCNoR01_1__RegGxRC1_2, 0, { MCK_RegQSRC, MCK_RegGxRCNoR0, MCK_RegGxRC }, }, { 7633 /* qvstfsuxa */, PPC::QVSTFSUXA, Convert__RegQFRC1_0__RegGxRCNoR01_1__RegGxRC1_2, 0, { MCK_RegQFRC, MCK_RegGxRCNoR0, MCK_RegGxRC }, }, { 7643 /* qvstfsuxi */, PPC::QVSTFSUXI, Convert__RegQFRC1_0__RegGxRCNoR01_1__RegGxRC1_2, 0, { MCK_RegQFRC, MCK_RegGxRCNoR0, MCK_RegGxRC }, }, { 7653 /* qvstfsuxia */, PPC::QVSTFSUXIA, Convert__RegQFRC1_0__RegGxRCNoR01_1__RegGxRC1_2, 0, { MCK_RegQFRC, MCK_RegGxRCNoR0, MCK_RegGxRC }, }, { 7664 /* qvstfsx */, PPC::QVSTFSX, Convert__RegQFRC1_0__RegGxRCNoR01_1__RegGxRC1_2, 0, { MCK_RegQFRC, MCK_RegGxRCNoR0, MCK_RegGxRC }, }, { 7672 /* qvstfsxa */, PPC::QVSTFSXA, Convert__RegQFRC1_0__RegGxRCNoR01_1__RegGxRC1_2, 0, { MCK_RegQFRC, MCK_RegGxRCNoR0, MCK_RegGxRC }, }, { 7681 /* qvstfsxi */, PPC::QVSTFSXI, Convert__RegQFRC1_0__RegGxRCNoR01_1__RegGxRC1_2, 0, { MCK_RegQFRC, MCK_RegGxRCNoR0, MCK_RegGxRC }, }, { 7690 /* qvstfsxia */, PPC::QVSTFSXIA, Convert__RegQFRC1_0__RegGxRCNoR01_1__RegGxRC1_2, 0, { MCK_RegQFRC, MCK_RegGxRCNoR0, MCK_RegGxRC }, }, { 7700 /* rfci */, PPC::RFCI, Convert_NoOperands, 0, { }, }, { 7705 /* rfdi */, PPC::RFDI, Convert_NoOperands, 0, { }, }, { 7710 /* rfebb */, PPC::RFEBB, Convert__U1Imm1_0, 0, { MCK_U1Imm }, }, { 7716 /* rfi */, PPC::RFI, Convert_NoOperands, 0, { }, }, { 7720 /* rfid */, PPC::RFID, Convert_NoOperands, 0, { }, }, { 7725 /* rfmci */, PPC::RFMCI, Convert_NoOperands, 0, { }, }, { 7731 /* rldcl */, PPC::RLDCL, Convert__RegG8RC1_0__RegG8RC1_1__RegGPRC1_2__U6Imm1_3, 0, { MCK_RegG8RC, MCK_RegG8RC, MCK_RegGPRC, MCK_U6Imm }, }, { 7731 /* rldcl */, PPC::RLDCLo, Convert__RegG8RC1_1__RegG8RC1_2__RegGPRC1_3__U6Imm1_4, 0, { MCK__DOT_, MCK_RegG8RC, MCK_RegG8RC, MCK_RegGPRC, MCK_U6Imm }, }, { 7737 /* rldcr */, PPC::RLDCR, Convert__RegG8RC1_0__RegG8RC1_1__RegGPRC1_2__U6Imm1_3, 0, { MCK_RegG8RC, MCK_RegG8RC, MCK_RegGPRC, MCK_U6Imm }, }, { 7737 /* rldcr */, PPC::RLDCRo, Convert__RegG8RC1_1__RegG8RC1_2__RegGPRC1_3__U6Imm1_4, 0, { MCK__DOT_, MCK_RegG8RC, MCK_RegG8RC, MCK_RegGPRC, MCK_U6Imm }, }, { 7743 /* rldic */, PPC::RLDIC, Convert__RegG8RC1_0__RegG8RC1_1__U6Imm1_2__U6Imm1_3, 0, { MCK_RegG8RC, MCK_RegG8RC, MCK_U6Imm, MCK_U6Imm }, }, { 7743 /* rldic */, PPC::RLDICo, Convert__RegG8RC1_1__RegG8RC1_2__U6Imm1_3__U6Imm1_4, 0, { MCK__DOT_, MCK_RegG8RC, MCK_RegG8RC, MCK_U6Imm, MCK_U6Imm }, }, { 7749 /* rldicl */, PPC::RLDICL, Convert__RegG8RC1_0__RegG8RC1_1__U6Imm1_2__U6Imm1_3, 0, { MCK_RegG8RC, MCK_RegG8RC, MCK_U6Imm, MCK_U6Imm }, }, { 7749 /* rldicl */, PPC::RLDICLo, Convert__RegG8RC1_1__RegG8RC1_2__U6Imm1_3__U6Imm1_4, 0, { MCK__DOT_, MCK_RegG8RC, MCK_RegG8RC, MCK_U6Imm, MCK_U6Imm }, }, { 7756 /* rldicr */, PPC::RLDICR, Convert__RegG8RC1_0__RegG8RC1_1__U6Imm1_2__U6Imm1_3, 0, { MCK_RegG8RC, MCK_RegG8RC, MCK_U6Imm, MCK_U6Imm }, }, { 7756 /* rldicr */, PPC::RLDICRo, Convert__RegG8RC1_1__RegG8RC1_2__U6Imm1_3__U6Imm1_4, 0, { MCK__DOT_, MCK_RegG8RC, MCK_RegG8RC, MCK_U6Imm, MCK_U6Imm }, }, { 7763 /* rldimi */, PPC::RLDIMI, Convert__RegG8RC1_0__Tie0__RegG8RC1_1__U6Imm1_2__U6Imm1_3, 0, { MCK_RegG8RC, MCK_RegG8RC, MCK_U6Imm, MCK_U6Imm }, }, { 7763 /* rldimi */, PPC::RLDIMIo, Convert__RegG8RC1_1__Tie0__RegG8RC1_2__U6Imm1_3__U6Imm1_4, 0, { MCK__DOT_, MCK_RegG8RC, MCK_RegG8RC, MCK_U6Imm, MCK_U6Imm }, }, { 7770 /* rlwimi */, PPC::RLWIMIbm, Convert__RegG8RC1_0__RegG8RC1_1__U5Imm1_2__Imm1_3, 0, { MCK_RegG8RC, MCK_RegG8RC, MCK_U5Imm, MCK_Imm }, }, { 7770 /* rlwimi */, PPC::RLWIMIobm, Convert__RegG8RC1_1__RegG8RC1_2__U5Imm1_3__Imm1_4, 0, { MCK__DOT_, MCK_RegG8RC, MCK_RegG8RC, MCK_U5Imm, MCK_Imm }, }, { 7770 /* rlwimi */, PPC::RLWIMI, Convert__RegGPRC1_0__Tie0__RegGPRC1_1__U5Imm1_2__U5Imm1_3__U5Imm1_4, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_U5Imm, MCK_U5Imm, MCK_U5Imm }, }, { 7770 /* rlwimi */, PPC::RLWIMIo, Convert__RegGPRC1_1__Tie0__RegGPRC1_2__U5Imm1_3__U5Imm1_4__U5Imm1_5, 0, { MCK__DOT_, MCK_RegGPRC, MCK_RegGPRC, MCK_U5Imm, MCK_U5Imm, MCK_U5Imm }, }, { 7777 /* rlwinm */, PPC::RLWINMbm, Convert__RegG8RC1_0__RegG8RC1_1__U5Imm1_2__Imm1_3, 0, { MCK_RegG8RC, MCK_RegG8RC, MCK_U5Imm, MCK_Imm }, }, { 7777 /* rlwinm */, PPC::RLWINMobm, Convert__RegG8RC1_1__RegG8RC1_2__U5Imm1_3__Imm1_4, 0, { MCK__DOT_, MCK_RegG8RC, MCK_RegG8RC, MCK_U5Imm, MCK_Imm }, }, { 7777 /* rlwinm */, PPC::RLWINM, Convert__RegGPRC1_0__RegGPRC1_1__U5Imm1_2__U5Imm1_3__U5Imm1_4, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_U5Imm, MCK_U5Imm, MCK_U5Imm }, }, { 7777 /* rlwinm */, PPC::RLWINMo, Convert__RegGPRC1_1__RegGPRC1_2__U5Imm1_3__U5Imm1_4__U5Imm1_5, 0, { MCK__DOT_, MCK_RegGPRC, MCK_RegGPRC, MCK_U5Imm, MCK_U5Imm, MCK_U5Imm }, }, { 7784 /* rlwnm */, PPC::RLWNMbm, Convert__RegG8RC1_0__RegG8RC1_1__U5Imm1_2__Imm1_3, 0, { MCK_RegG8RC, MCK_RegG8RC, MCK_U5Imm, MCK_Imm }, }, { 7784 /* rlwnm */, PPC::RLWNMobm, Convert__RegG8RC1_1__RegG8RC1_2__U5Imm1_3__Imm1_4, 0, { MCK__DOT_, MCK_RegG8RC, MCK_RegG8RC, MCK_U5Imm, MCK_Imm }, }, { 7784 /* rlwnm */, PPC::RLWNM, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2__U5Imm1_3__U5Imm1_4, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC, MCK_U5Imm, MCK_U5Imm }, }, { 7784 /* rlwnm */, PPC::RLWNMo, Convert__RegGPRC1_1__RegGPRC1_2__RegGPRC1_3__U5Imm1_4__U5Imm1_5, 0, { MCK__DOT_, MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC, MCK_U5Imm, MCK_U5Imm }, }, { 7790 /* rotld */, PPC::RLDCL, Convert__RegG8RC1_0__RegG8RC1_1__RegGPRC1_2__imm_95_0, 0, { MCK_RegG8RC, MCK_RegG8RC, MCK_RegGPRC }, }, { 7790 /* rotld */, PPC::RLDCLo, Convert__RegG8RC1_1__RegG8RC1_2__RegGPRC1_3__imm_95_0, 0, { MCK__DOT_, MCK_RegG8RC, MCK_RegG8RC, MCK_RegGPRC }, }, { 7796 /* rotldi */, PPC::RLDICL, Convert__RegG8RC1_0__RegG8RC1_1__U6Imm1_2__imm_95_0, 0, { MCK_RegG8RC, MCK_RegG8RC, MCK_U6Imm }, }, { 7796 /* rotldi */, PPC::RLDICLo, Convert__RegG8RC1_1__RegG8RC1_2__U6Imm1_3__imm_95_0, 0, { MCK__DOT_, MCK_RegG8RC, MCK_RegG8RC, MCK_U6Imm }, }, { 7803 /* rotlw */, PPC::RLWNM, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2__imm_95_0__imm_95_31, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, }, { 7803 /* rotlw */, PPC::RLWNMo, Convert__RegGPRC1_1__RegGPRC1_2__RegGPRC1_3__imm_95_0__imm_95_31, 0, { MCK__DOT_, MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, }, { 7809 /* rotlwi */, PPC::RLWINM, Convert__RegGPRC1_0__RegGPRC1_1__U5Imm1_2__imm_95_0__imm_95_31, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_U5Imm }, }, { 7809 /* rotlwi */, PPC::RLWINMo, Convert__RegGPRC1_1__RegGPRC1_2__U5Imm1_3__imm_95_0__imm_95_31, 0, { MCK__DOT_, MCK_RegGPRC, MCK_RegGPRC, MCK_U5Imm }, }, { 7816 /* rotrdi */, PPC::ROTRDI, Convert__RegG8RC1_0__RegG8RC1_1__U6Imm1_2, 0, { MCK_RegG8RC, MCK_RegG8RC, MCK_U6Imm }, }, { 7816 /* rotrdi */, PPC::ROTRDIo, Convert__RegG8RC1_1__RegG8RC1_2__U6Imm1_3, 0, { MCK__DOT_, MCK_RegG8RC, MCK_RegG8RC, MCK_U6Imm }, }, { 7823 /* rotrwi */, PPC::ROTRWI, Convert__RegGPRC1_0__RegGPRC1_1__U5Imm1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_U5Imm }, }, { 7823 /* rotrwi */, PPC::ROTRWIo, Convert__RegGPRC1_1__RegGPRC1_2__U5Imm1_3, 0, { MCK__DOT_, MCK_RegGPRC, MCK_RegGPRC, MCK_U5Imm }, }, { 7830 /* sc */, PPC::SC, Convert__imm_95_0, 0, { }, }, { 7830 /* sc */, PPC::SC, Convert__Imm1_0, 0, { MCK_Imm }, }, { 7833 /* slbia */, PPC::SLBIA, Convert_NoOperands, 0, { }, }, { 7839 /* slbie */, PPC::SLBIE, Convert__RegGPRC1_0, 0, { MCK_RegGPRC }, }, { 7845 /* slbmfee */, PPC::SLBMFEE, Convert__RegGPRC1_0__RegGPRC1_1, 0, { MCK_RegGPRC, MCK_RegGPRC }, }, { 7853 /* slbmte */, PPC::SLBMTE, Convert__RegGPRC1_0__RegGPRC1_1, 0, { MCK_RegGPRC, MCK_RegGPRC }, }, { 7860 /* sld */, PPC::SLD, Convert__RegG8RC1_0__RegG8RC1_1__RegGPRC1_2, 0, { MCK_RegG8RC, MCK_RegG8RC, MCK_RegGPRC }, }, { 7860 /* sld */, PPC::SLDo, Convert__RegG8RC1_1__RegG8RC1_2__RegGPRC1_3, 0, { MCK__DOT_, MCK_RegG8RC, MCK_RegG8RC, MCK_RegGPRC }, }, { 7864 /* sldi */, PPC::SLDI, Convert__RegG8RC1_0__RegG8RC1_1__U6Imm1_2, 0, { MCK_RegG8RC, MCK_RegG8RC, MCK_U6Imm }, }, { 7864 /* sldi */, PPC::SLDIo, Convert__RegG8RC1_1__RegG8RC1_2__U6Imm1_3, 0, { MCK__DOT_, MCK_RegG8RC, MCK_RegG8RC, MCK_U6Imm }, }, { 7869 /* slw */, PPC::SLW, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, }, { 7869 /* slw */, PPC::SLWo, Convert__RegGPRC1_1__RegGPRC1_2__RegGPRC1_3, 0, { MCK__DOT_, MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, }, { 7873 /* slwi */, PPC::SLWI, Convert__RegGPRC1_0__RegGPRC1_1__U5Imm1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_U5Imm }, }, { 7873 /* slwi */, PPC::SLWIo, Convert__RegGPRC1_1__RegGPRC1_2__U5Imm1_3, 0, { MCK__DOT_, MCK_RegGPRC, MCK_RegGPRC, MCK_U5Imm }, }, { 7878 /* srad */, PPC::SRAD, Convert__RegG8RC1_0__RegG8RC1_1__RegGPRC1_2, 0, { MCK_RegG8RC, MCK_RegG8RC, MCK_RegGPRC }, }, { 7878 /* srad */, PPC::SRADo, Convert__RegG8RC1_1__RegG8RC1_2__RegGPRC1_3, 0, { MCK__DOT_, MCK_RegG8RC, MCK_RegG8RC, MCK_RegGPRC }, }, { 7883 /* sradi */, PPC::SRADI, Convert__RegG8RC1_0__RegG8RC1_1__U6Imm1_2, 0, { MCK_RegG8RC, MCK_RegG8RC, MCK_U6Imm }, }, { 7883 /* sradi */, PPC::SRADIo, Convert__RegG8RC1_1__RegG8RC1_2__U6Imm1_3, 0, { MCK__DOT_, MCK_RegG8RC, MCK_RegG8RC, MCK_U6Imm }, }, { 7889 /* sraw */, PPC::SRAW, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, }, { 7889 /* sraw */, PPC::SRAWo, Convert__RegGPRC1_1__RegGPRC1_2__RegGPRC1_3, 0, { MCK__DOT_, MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, }, { 7894 /* srawi */, PPC::SRAWI, Convert__RegGPRC1_0__RegGPRC1_1__U5Imm1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_U5Imm }, }, { 7894 /* srawi */, PPC::SRAWIo, Convert__RegGPRC1_1__RegGPRC1_2__U5Imm1_3, 0, { MCK__DOT_, MCK_RegGPRC, MCK_RegGPRC, MCK_U5Imm }, }, { 7900 /* srd */, PPC::SRD, Convert__RegG8RC1_0__RegG8RC1_1__RegGPRC1_2, 0, { MCK_RegG8RC, MCK_RegG8RC, MCK_RegGPRC }, }, { 7900 /* srd */, PPC::SRDo, Convert__RegG8RC1_1__RegG8RC1_2__RegGPRC1_3, 0, { MCK__DOT_, MCK_RegG8RC, MCK_RegG8RC, MCK_RegGPRC }, }, { 7904 /* srdi */, PPC::SRDI, Convert__RegG8RC1_0__RegG8RC1_1__U6Imm1_2, 0, { MCK_RegG8RC, MCK_RegG8RC, MCK_U6Imm }, }, { 7904 /* srdi */, PPC::SRDIo, Convert__RegG8RC1_1__RegG8RC1_2__U6Imm1_3, 0, { MCK__DOT_, MCK_RegG8RC, MCK_RegG8RC, MCK_U6Imm }, }, { 7909 /* srw */, PPC::SRW, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, }, { 7909 /* srw */, PPC::SRWo, Convert__RegGPRC1_1__RegGPRC1_2__RegGPRC1_3, 0, { MCK__DOT_, MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, }, { 7913 /* srwi */, PPC::SRWI, Convert__RegGPRC1_0__RegGPRC1_1__U5Imm1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_U5Imm }, }, { 7913 /* srwi */, PPC::SRWIo, Convert__RegGPRC1_1__RegGPRC1_2__U5Imm1_3, 0, { MCK__DOT_, MCK_RegGPRC, MCK_RegGPRC, MCK_U5Imm }, }, { 7918 /* stb */, PPC::STB, Convert__RegGPRC1_0__DispRI1_1__RegGxRCNoR01_2, 0, { MCK_RegGPRC, MCK_DispRI, MCK_RegGxRCNoR0 }, }, { 7922 /* stbcix */, PPC::STBCIX, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, }, { 7929 /* stbcx */, PPC::STBCX, Convert__RegGPRC1_1__RegGxRCNoR01_2__RegGxRC1_3, 0, { MCK__DOT_, MCK_RegGPRC, MCK_RegGxRCNoR0, MCK_RegGxRC }, }, { 7935 /* stbu */, PPC::STBU, Convert__imm_95_0__RegGPRC1_0__DispRI1_1__RegGxRCNoR01_2, 0, { MCK_RegGPRC, MCK_DispRI, MCK_RegGxRCNoR0 }, }, { 7940 /* stbux */, PPC::STBUX, Convert__imm_95_0__RegGPRC1_0__RegGxRCNoR01_1__RegGxRC1_2, 0, { MCK_RegGPRC, MCK_RegGxRCNoR0, MCK_RegGxRC }, }, { 7946 /* stbx */, PPC::STBX, Convert__RegGPRC1_0__RegGxRCNoR01_1__RegGxRC1_2, 0, { MCK_RegGPRC, MCK_RegGxRCNoR0, MCK_RegGxRC }, }, { 7951 /* std */, PPC::STD, Convert__RegG8RC1_0__DispRIX1_1__RegGxRCNoR01_2, 0, { MCK_RegG8RC, MCK_DispRIX, MCK_RegGxRCNoR0 }, }, { 7955 /* stdbrx */, PPC::STDBRX, Convert__RegG8RC1_0__RegGxRCNoR01_1__RegGxRC1_2, 0, { MCK_RegG8RC, MCK_RegGxRCNoR0, MCK_RegGxRC }, }, { 7962 /* stdcix */, PPC::STDCIX, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, }, { 7969 /* stdcx */, PPC::STDCX, Convert__RegG8RC1_1__RegGxRCNoR01_2__RegGxRC1_3, 0, { MCK__DOT_, MCK_RegG8RC, MCK_RegGxRCNoR0, MCK_RegGxRC }, }, { 7975 /* stdu */, PPC::STDU, Convert__imm_95_0__RegG8RC1_0__DispRIX1_1__RegGxRCNoR01_2, 0, { MCK_RegG8RC, MCK_DispRIX, MCK_RegGxRCNoR0 }, }, { 7980 /* stdux */, PPC::STDUX, Convert__imm_95_0__RegG8RC1_0__RegGxRCNoR01_1__RegGxRC1_2, 0, { MCK_RegG8RC, MCK_RegGxRCNoR0, MCK_RegGxRC }, }, { 7986 /* stdx */, PPC::STDX, Convert__RegG8RC1_0__RegGxRCNoR01_1__RegGxRC1_2, 0, { MCK_RegG8RC, MCK_RegGxRCNoR0, MCK_RegGxRC }, }, { 7991 /* stfd */, PPC::STFD, Convert__RegF8RC1_0__DispRI1_1__RegGxRCNoR01_2, 0, { MCK_RegF8RC, MCK_DispRI, MCK_RegGxRCNoR0 }, }, { 7996 /* stfdu */, PPC::STFDU, Convert__imm_95_0__RegF8RC1_0__DispRI1_1__RegGxRCNoR01_2, 0, { MCK_RegF8RC, MCK_DispRI, MCK_RegGxRCNoR0 }, }, { 8002 /* stfdux */, PPC::STFDUX, Convert__imm_95_0__RegF8RC1_0__RegGxRCNoR01_1__RegGxRC1_2, 0, { MCK_RegF8RC, MCK_RegGxRCNoR0, MCK_RegGxRC }, }, { 8009 /* stfdx */, PPC::STFDX, Convert__RegF8RC1_0__RegGxRCNoR01_1__RegGxRC1_2, 0, { MCK_RegF8RC, MCK_RegGxRCNoR0, MCK_RegGxRC }, }, { 8015 /* stfiwx */, PPC::STFIWX, Convert__RegF8RC1_0__RegGxRCNoR01_1__RegGxRC1_2, 0, { MCK_RegF8RC, MCK_RegGxRCNoR0, MCK_RegGxRC }, }, { 8022 /* stfs */, PPC::STFS, Convert__RegF4RC1_0__DispRI1_1__RegGxRCNoR01_2, 0, { MCK_RegF4RC, MCK_DispRI, MCK_RegGxRCNoR0 }, }, { 8027 /* stfsu */, PPC::STFSU, Convert__imm_95_0__RegF4RC1_0__DispRI1_1__RegGxRCNoR01_2, 0, { MCK_RegF4RC, MCK_DispRI, MCK_RegGxRCNoR0 }, }, { 8033 /* stfsux */, PPC::STFSUX, Convert__imm_95_0__RegF4RC1_0__RegGxRCNoR01_1__RegGxRC1_2, 0, { MCK_RegF4RC, MCK_RegGxRCNoR0, MCK_RegGxRC }, }, { 8040 /* stfsx */, PPC::STFSX, Convert__RegF4RC1_0__RegGxRCNoR01_1__RegGxRC1_2, 0, { MCK_RegF4RC, MCK_RegGxRCNoR0, MCK_RegGxRC }, }, { 8046 /* sth */, PPC::STH, Convert__RegGPRC1_0__DispRI1_1__RegGxRCNoR01_2, 0, { MCK_RegGPRC, MCK_DispRI, MCK_RegGxRCNoR0 }, }, { 8050 /* sthbrx */, PPC::STHBRX, Convert__RegGPRC1_0__RegGxRCNoR01_1__RegGxRC1_2, 0, { MCK_RegGPRC, MCK_RegGxRCNoR0, MCK_RegGxRC }, }, { 8057 /* sthcix */, PPC::STHCIX, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, }, { 8064 /* sthcx */, PPC::STHCX, Convert__RegGPRC1_1__RegGxRCNoR01_2__RegGxRC1_3, 0, { MCK__DOT_, MCK_RegGPRC, MCK_RegGxRCNoR0, MCK_RegGxRC }, }, { 8070 /* sthu */, PPC::STHU, Convert__imm_95_0__RegGPRC1_0__DispRI1_1__RegGxRCNoR01_2, 0, { MCK_RegGPRC, MCK_DispRI, MCK_RegGxRCNoR0 }, }, { 8075 /* sthux */, PPC::STHUX, Convert__imm_95_0__RegGPRC1_0__RegGxRCNoR01_1__RegGxRC1_2, 0, { MCK_RegGPRC, MCK_RegGxRCNoR0, MCK_RegGxRC }, }, { 8081 /* sthx */, PPC::STHX, Convert__RegGPRC1_0__RegGxRCNoR01_1__RegGxRC1_2, 0, { MCK_RegGPRC, MCK_RegGxRCNoR0, MCK_RegGxRC }, }, { 8086 /* stmw */, PPC::STMW, Convert__RegGPRC1_0__DispRI1_1__RegGxRCNoR01_2, 0, { MCK_RegGPRC, MCK_DispRI, MCK_RegGxRCNoR0 }, }, { 8091 /* stswi */, PPC::STSWI, Convert__RegGPRC1_0__RegGPRC1_1__U5Imm1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_U5Imm }, }, { 8097 /* stvebx */, PPC::STVEBX, Convert__RegVRRC1_0__RegGxRCNoR01_1__RegGxRC1_2, 0, { MCK_RegVRRC, MCK_RegGxRCNoR0, MCK_RegGxRC }, }, { 8104 /* stvehx */, PPC::STVEHX, Convert__RegVRRC1_0__RegGxRCNoR01_1__RegGxRC1_2, 0, { MCK_RegVRRC, MCK_RegGxRCNoR0, MCK_RegGxRC }, }, { 8111 /* stvewx */, PPC::STVEWX, Convert__RegVRRC1_0__RegGxRCNoR01_1__RegGxRC1_2, 0, { MCK_RegVRRC, MCK_RegGxRCNoR0, MCK_RegGxRC }, }, { 8118 /* stvx */, PPC::STVX, Convert__RegVRRC1_0__RegGxRCNoR01_1__RegGxRC1_2, 0, { MCK_RegVRRC, MCK_RegGxRCNoR0, MCK_RegGxRC }, }, { 8123 /* stvxl */, PPC::STVXL, Convert__RegVRRC1_0__RegGxRCNoR01_1__RegGxRC1_2, 0, { MCK_RegVRRC, MCK_RegGxRCNoR0, MCK_RegGxRC }, }, { 8129 /* stw */, PPC::STW, Convert__RegGPRC1_0__DispRI1_1__RegGxRCNoR01_2, 0, { MCK_RegGPRC, MCK_DispRI, MCK_RegGxRCNoR0 }, }, { 8133 /* stwbrx */, PPC::STWBRX, Convert__RegGPRC1_0__RegGxRCNoR01_1__RegGxRC1_2, 0, { MCK_RegGPRC, MCK_RegGxRCNoR0, MCK_RegGxRC }, }, { 8140 /* stwcix */, PPC::STWCIX, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, }, { 8147 /* stwcx */, PPC::STWCX, Convert__RegGPRC1_1__RegGxRCNoR01_2__RegGxRC1_3, 0, { MCK__DOT_, MCK_RegGPRC, MCK_RegGxRCNoR0, MCK_RegGxRC }, }, { 8153 /* stwu */, PPC::STWU, Convert__imm_95_0__RegGPRC1_0__DispRI1_1__RegGxRCNoR01_2, 0, { MCK_RegGPRC, MCK_DispRI, MCK_RegGxRCNoR0 }, }, { 8158 /* stwux */, PPC::STWUX, Convert__imm_95_0__RegGPRC1_0__RegGxRCNoR01_1__RegGxRC1_2, 0, { MCK_RegGPRC, MCK_RegGxRCNoR0, MCK_RegGxRC }, }, { 8164 /* stwx */, PPC::STWX, Convert__RegGPRC1_0__RegGxRCNoR01_1__RegGxRC1_2, 0, { MCK_RegGPRC, MCK_RegGxRCNoR0, MCK_RegGxRC }, }, { 8169 /* stxsdx */, PPC::STXSDX, Convert__RegVSFRC1_0__RegGxRCNoR01_1__RegGxRC1_2, 0, { MCK_RegVSFRC, MCK_RegGxRCNoR0, MCK_RegGxRC }, }, { 8176 /* stxsiwx */, PPC::STXSIWX, Convert__RegVSFRC1_0__RegGxRCNoR01_1__RegGxRC1_2, 0, { MCK_RegVSFRC, MCK_RegGxRCNoR0, MCK_RegGxRC }, }, { 8184 /* stxsspx */, PPC::STXSSPX, Convert__RegVSSRC1_0__RegGxRCNoR01_1__RegGxRC1_2, 0, { MCK_RegVSSRC, MCK_RegGxRCNoR0, MCK_RegGxRC }, }, { 8192 /* stxvd2x */, PPC::STXVD2X, Convert__RegVSRC1_0__RegGxRCNoR01_1__RegGxRC1_2, 0, { MCK_RegVSRC, MCK_RegGxRCNoR0, MCK_RegGxRC }, }, { 8200 /* stxvw4x */, PPC::STXVW4X, Convert__RegVSRC1_0__RegGxRCNoR01_1__RegGxRC1_2, 0, { MCK_RegVSRC, MCK_RegGxRCNoR0, MCK_RegGxRC }, }, { 8208 /* sub */, PPC::SUBF8, Convert__RegG8RC1_0__RegG8RC1_2__RegG8RC1_1, 0, { MCK_RegG8RC, MCK_RegG8RC, MCK_RegG8RC }, }, { 8208 /* sub */, PPC::SUBF8o, Convert__RegG8RC1_1__RegG8RC1_3__RegG8RC1_2, 0, { MCK__DOT_, MCK_RegG8RC, MCK_RegG8RC, MCK_RegG8RC }, }, { 8212 /* subc */, PPC::SUBFC8, Convert__RegG8RC1_0__RegG8RC1_2__RegG8RC1_1, 0, { MCK_RegG8RC, MCK_RegG8RC, MCK_RegG8RC }, }, { 8212 /* subc */, PPC::SUBFC8o, Convert__RegG8RC1_1__RegG8RC1_3__RegG8RC1_2, 0, { MCK__DOT_, MCK_RegG8RC, MCK_RegG8RC, MCK_RegG8RC }, }, { 8217 /* subf */, PPC::SUBF, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, }, { 8217 /* subf */, PPC::SUBFo, Convert__RegGPRC1_1__RegGPRC1_2__RegGPRC1_3, 0, { MCK__DOT_, MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, }, { 8222 /* subfc */, PPC::SUBFC, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, }, { 8222 /* subfc */, PPC::SUBFCo, Convert__RegGPRC1_1__RegGPRC1_2__RegGPRC1_3, 0, { MCK__DOT_, MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, }, { 8228 /* subfe */, PPC::SUBFE, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, }, { 8228 /* subfe */, PPC::SUBFEo, Convert__RegGPRC1_1__RegGPRC1_2__RegGPRC1_3, 0, { MCK__DOT_, MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, }, { 8234 /* subfic */, PPC::SUBFIC, Convert__RegGPRC1_0__RegGPRC1_1__S16Imm1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_S16Imm }, }, { 8241 /* subfme */, PPC::SUBFME, Convert__RegGPRC1_0__RegGPRC1_1, 0, { MCK_RegGPRC, MCK_RegGPRC }, }, { 8241 /* subfme */, PPC::SUBFMEo, Convert__RegGPRC1_1__RegGPRC1_2, 0, { MCK__DOT_, MCK_RegGPRC, MCK_RegGPRC }, }, { 8248 /* subfze */, PPC::SUBFZE, Convert__RegGPRC1_0__RegGPRC1_1, 0, { MCK_RegGPRC, MCK_RegGPRC }, }, { 8248 /* subfze */, PPC::SUBFZEo, Convert__RegGPRC1_1__RegGPRC1_2, 0, { MCK__DOT_, MCK_RegGPRC, MCK_RegGPRC }, }, { 8255 /* subi */, PPC::SUBI, Convert__RegGPRC1_0__RegGPRC1_1__S16Imm1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_S16Imm }, }, { 8260 /* subic */, PPC::SUBIC, Convert__RegGPRC1_0__RegGPRC1_1__S16Imm1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_S16Imm }, }, { 8260 /* subic */, PPC::SUBICo, Convert__RegGPRC1_1__RegGPRC1_2__S16Imm1_3, 0, { MCK__DOT_, MCK_RegGPRC, MCK_RegGPRC, MCK_S16Imm }, }, { 8266 /* subis */, PPC::SUBIS, Convert__RegGPRC1_0__RegGPRC1_1__S16Imm1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_S16Imm }, }, { 8272 /* sync */, PPC::SYNC, Convert__imm_95_0, 0, { }, }, { 8272 /* sync */, PPC::SYNC, Convert__Imm1_0, 0, { MCK_Imm }, }, { 8277 /* tabort */, PPC::TABORT, Convert__imm_95_0__RegGPRC1_1, 0, { MCK__DOT_, MCK_RegGPRC }, }, { 8284 /* tabortdc */, PPC::TABORTDC, Convert__imm_95_0__U5Imm1_1__RegGPRC1_2__RegGPRC1_3, 0, { MCK__DOT_, MCK_U5Imm, MCK_RegGPRC, MCK_RegGPRC }, }, { 8293 /* tabortdci */, PPC::TABORTDCI, Convert__imm_95_0__U5Imm1_1__RegGPRC1_2__U5Imm1_3, 0, { MCK__DOT_, MCK_U5Imm, MCK_RegGPRC, MCK_U5Imm }, }, { 8303 /* tabortwc */, PPC::TABORTWC, Convert__imm_95_0__U5Imm1_1__RegGPRC1_2__RegGPRC1_3, 0, { MCK__DOT_, MCK_U5Imm, MCK_RegGPRC, MCK_RegGPRC }, }, { 8312 /* tabortwci */, PPC::TABORTWCI, Convert__imm_95_0__U5Imm1_1__RegGPRC1_2__U5Imm1_3, 0, { MCK__DOT_, MCK_U5Imm, MCK_RegGPRC, MCK_U5Imm }, }, { 8322 /* tbegin */, PPC::TBEGIN, Convert__imm_95_0__U1Imm1_1, 0, { MCK__DOT_, MCK_U1Imm }, }, { 8329 /* tcheck */, PPC::TCHECK, Convert__RegCRRC1_0, 0, { MCK_RegCRRC }, }, { 8336 /* td */, PPC::TD, Convert__U5Imm1_0__RegG8RC1_1__RegG8RC1_2, 0, { MCK_U5Imm, MCK_RegG8RC, MCK_RegG8RC }, }, { 8339 /* tdeq */, PPC::TD, Convert__imm_95_4__RegG8RC1_0__RegG8RC1_1, 0, { MCK_RegG8RC, MCK_RegG8RC }, }, { 8344 /* tdeqi */, PPC::TDI, Convert__imm_95_4__RegG8RC1_0__S16Imm1_1, 0, { MCK_RegG8RC, MCK_S16Imm }, }, { 8350 /* tdge */, PPC::TD, Convert__imm_95_12__RegG8RC1_0__RegG8RC1_1, 0, { MCK_RegG8RC, MCK_RegG8RC }, }, { 8355 /* tdgei */, PPC::TDI, Convert__imm_95_12__RegG8RC1_0__S16Imm1_1, 0, { MCK_RegG8RC, MCK_S16Imm }, }, { 8361 /* tdgt */, PPC::TD, Convert__imm_95_8__RegG8RC1_0__RegG8RC1_1, 0, { MCK_RegG8RC, MCK_RegG8RC }, }, { 8366 /* tdgti */, PPC::TDI, Convert__imm_95_8__RegG8RC1_0__S16Imm1_1, 0, { MCK_RegG8RC, MCK_S16Imm }, }, { 8372 /* tdi */, PPC::TDI, Convert__U5Imm1_0__RegG8RC1_1__S16Imm1_2, 0, { MCK_U5Imm, MCK_RegG8RC, MCK_S16Imm }, }, { 8376 /* tdle */, PPC::TD, Convert__imm_95_20__RegG8RC1_0__RegG8RC1_1, 0, { MCK_RegG8RC, MCK_RegG8RC }, }, { 8381 /* tdlei */, PPC::TDI, Convert__imm_95_20__RegG8RC1_0__S16Imm1_1, 0, { MCK_RegG8RC, MCK_S16Imm }, }, { 8387 /* tdlge */, PPC::TD, Convert__imm_95_5__RegG8RC1_0__RegG8RC1_1, 0, { MCK_RegG8RC, MCK_RegG8RC }, }, { 8393 /* tdlgei */, PPC::TDI, Convert__imm_95_5__RegG8RC1_0__S16Imm1_1, 0, { MCK_RegG8RC, MCK_S16Imm }, }, { 8400 /* tdlgt */, PPC::TD, Convert__imm_95_1__RegG8RC1_0__RegG8RC1_1, 0, { MCK_RegG8RC, MCK_RegG8RC }, }, { 8406 /* tdlgti */, PPC::TDI, Convert__imm_95_1__RegG8RC1_0__S16Imm1_1, 0, { MCK_RegG8RC, MCK_S16Imm }, }, { 8413 /* tdlle */, PPC::TD, Convert__imm_95_6__RegG8RC1_0__RegG8RC1_1, 0, { MCK_RegG8RC, MCK_RegG8RC }, }, { 8419 /* tdllei */, PPC::TDI, Convert__imm_95_6__RegG8RC1_0__S16Imm1_1, 0, { MCK_RegG8RC, MCK_S16Imm }, }, { 8426 /* tdllt */, PPC::TD, Convert__imm_95_2__RegG8RC1_0__RegG8RC1_1, 0, { MCK_RegG8RC, MCK_RegG8RC }, }, { 8432 /* tdllti */, PPC::TDI, Convert__imm_95_2__RegG8RC1_0__S16Imm1_1, 0, { MCK_RegG8RC, MCK_S16Imm }, }, { 8439 /* tdlng */, PPC::TD, Convert__imm_95_6__RegG8RC1_0__RegG8RC1_1, 0, { MCK_RegG8RC, MCK_RegG8RC }, }, { 8445 /* tdlngi */, PPC::TDI, Convert__imm_95_6__RegG8RC1_0__S16Imm1_1, 0, { MCK_RegG8RC, MCK_S16Imm }, }, { 8452 /* tdlnl */, PPC::TD, Convert__imm_95_5__RegG8RC1_0__RegG8RC1_1, 0, { MCK_RegG8RC, MCK_RegG8RC }, }, { 8458 /* tdlnli */, PPC::TDI, Convert__imm_95_5__RegG8RC1_0__S16Imm1_1, 0, { MCK_RegG8RC, MCK_S16Imm }, }, { 8465 /* tdlt */, PPC::TD, Convert__imm_95_16__RegG8RC1_0__RegG8RC1_1, 0, { MCK_RegG8RC, MCK_RegG8RC }, }, { 8470 /* tdlti */, PPC::TDI, Convert__imm_95_16__RegG8RC1_0__S16Imm1_1, 0, { MCK_RegG8RC, MCK_S16Imm }, }, { 8476 /* tdne */, PPC::TD, Convert__imm_95_24__RegG8RC1_0__RegG8RC1_1, 0, { MCK_RegG8RC, MCK_RegG8RC }, }, { 8481 /* tdnei */, PPC::TDI, Convert__imm_95_24__RegG8RC1_0__S16Imm1_1, 0, { MCK_RegG8RC, MCK_S16Imm }, }, { 8487 /* tdng */, PPC::TD, Convert__imm_95_20__RegG8RC1_0__RegG8RC1_1, 0, { MCK_RegG8RC, MCK_RegG8RC }, }, { 8492 /* tdngi */, PPC::TDI, Convert__imm_95_20__RegG8RC1_0__S16Imm1_1, 0, { MCK_RegG8RC, MCK_S16Imm }, }, { 8498 /* tdnl */, PPC::TD, Convert__imm_95_12__RegG8RC1_0__RegG8RC1_1, 0, { MCK_RegG8RC, MCK_RegG8RC }, }, { 8503 /* tdnli */, PPC::TDI, Convert__imm_95_12__RegG8RC1_0__S16Imm1_1, 0, { MCK_RegG8RC, MCK_S16Imm }, }, { 8509 /* tdu */, PPC::TD, Convert__imm_95_31__RegG8RC1_0__RegG8RC1_1, 0, { MCK_RegG8RC, MCK_RegG8RC }, }, { 8513 /* tdui */, PPC::TDI, Convert__imm_95_31__RegG8RC1_0__S16Imm1_1, 0, { MCK_RegG8RC, MCK_S16Imm }, }, { 8518 /* tend */, PPC::TEND, Convert__imm_95_0__U1Imm1_1, 0, { MCK__DOT_, MCK_U1Imm }, }, { 8523 /* tlbia */, PPC::TLBIA, Convert_NoOperands, 0, { }, }, { 8529 /* tlbie */, PPC::TLBIE, Convert__regR0__RegGPRC1_0, 0, { MCK_RegGPRC }, }, { 8529 /* tlbie */, PPC::TLBIE, Convert__RegGPRC1_1__RegGPRC1_0, 0, { MCK_RegGPRC, MCK_RegGPRC }, }, { 8535 /* tlbiel */, PPC::TLBIEL, Convert__RegGPRC1_0, 0, { MCK_RegGPRC }, }, { 8542 /* tlbivax */, PPC::TLBIVAX, Convert__RegGPRC1_0__RegGPRC1_1, 0, { MCK_RegGPRC, MCK_RegGPRC }, }, { 8550 /* tlbld */, PPC::TLBLD, Convert__RegGPRC1_0, 0, { MCK_RegGPRC }, }, { 8556 /* tlbli */, PPC::TLBLI, Convert__RegGPRC1_0, 0, { MCK_RegGPRC }, }, { 8562 /* tlbre */, PPC::TLBRE, Convert_NoOperands, 0, { }, }, { 8562 /* tlbre */, PPC::TLBRE2, Convert__RegGPRC1_0__RegGPRC1_1__Imm1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_Imm }, }, { 8568 /* tlbrehi */, PPC::TLBRE2, Convert__RegGPRC1_0__RegGPRC1_1__imm_95_0, 0, { MCK_RegGPRC, MCK_RegGPRC }, }, { 8576 /* tlbrelo */, PPC::TLBRE2, Convert__RegGPRC1_0__RegGPRC1_1__imm_95_1, 0, { MCK_RegGPRC, MCK_RegGPRC }, }, { 8584 /* tlbsx */, PPC::TLBSX, Convert__RegGPRC1_0__RegGPRC1_1, 0, { MCK_RegGPRC, MCK_RegGPRC }, }, { 8584 /* tlbsx */, PPC::TLBSX2, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, }, { 8584 /* tlbsx */, PPC::TLBSX2D, Convert__RegGPRC1_1__RegGPRC1_2__RegGPRC1_3, 0, { MCK__DOT_, MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, }, { 8590 /* tlbsync */, PPC::TLBSYNC, Convert_NoOperands, 0, { }, }, { 8598 /* tlbwe */, PPC::TLBWE, Convert_NoOperands, 0, { }, }, { 8598 /* tlbwe */, PPC::TLBWE2, Convert__RegGPRC1_0__RegGPRC1_1__Imm1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_Imm }, }, { 8604 /* tlbwehi */, PPC::TLBWE2, Convert__RegGPRC1_0__RegGPRC1_1__imm_95_0, 0, { MCK_RegGPRC, MCK_RegGPRC }, }, { 8612 /* tlbwelo */, PPC::TLBWE2, Convert__RegGPRC1_0__RegGPRC1_1__imm_95_1, 0, { MCK_RegGPRC, MCK_RegGPRC }, }, { 8620 /* trap */, PPC::TRAP, Convert_NoOperands, 0, { }, }, { 8625 /* trechkpt */, PPC::TRECHKPT, Convert__imm_95_0, 0, { MCK__DOT_ }, }, { 8634 /* treclaim */, PPC::TRECLAIM, Convert__imm_95_0__RegGPRC1_1, 0, { MCK__DOT_, MCK_RegGPRC }, }, { 8643 /* tsr */, PPC::TSR, Convert__imm_95_0__U1Imm1_1, 0, { MCK__DOT_, MCK_U1Imm }, }, { 8647 /* tw */, PPC::TW, Convert__U5Imm1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_U5Imm, MCK_RegGPRC, MCK_RegGPRC }, }, { 8650 /* tweq */, PPC::TW, Convert__imm_95_4__RegGPRC1_0__RegGPRC1_1, 0, { MCK_RegGPRC, MCK_RegGPRC }, }, { 8655 /* tweqi */, PPC::TWI, Convert__imm_95_4__RegGPRC1_0__S16Imm1_1, 0, { MCK_RegGPRC, MCK_S16Imm }, }, { 8661 /* twge */, PPC::TW, Convert__imm_95_12__RegGPRC1_0__RegGPRC1_1, 0, { MCK_RegGPRC, MCK_RegGPRC }, }, { 8666 /* twgei */, PPC::TWI, Convert__imm_95_12__RegGPRC1_0__S16Imm1_1, 0, { MCK_RegGPRC, MCK_S16Imm }, }, { 8672 /* twgt */, PPC::TW, Convert__imm_95_8__RegGPRC1_0__RegGPRC1_1, 0, { MCK_RegGPRC, MCK_RegGPRC }, }, { 8677 /* twgti */, PPC::TWI, Convert__imm_95_8__RegGPRC1_0__S16Imm1_1, 0, { MCK_RegGPRC, MCK_S16Imm }, }, { 8683 /* twi */, PPC::TWI, Convert__U5Imm1_0__RegGPRC1_1__S16Imm1_2, 0, { MCK_U5Imm, MCK_RegGPRC, MCK_S16Imm }, }, { 8687 /* twle */, PPC::TW, Convert__imm_95_20__RegGPRC1_0__RegGPRC1_1, 0, { MCK_RegGPRC, MCK_RegGPRC }, }, { 8692 /* twlei */, PPC::TWI, Convert__imm_95_20__RegGPRC1_0__S16Imm1_1, 0, { MCK_RegGPRC, MCK_S16Imm }, }, { 8698 /* twlge */, PPC::TW, Convert__imm_95_5__RegGPRC1_0__RegGPRC1_1, 0, { MCK_RegGPRC, MCK_RegGPRC }, }, { 8704 /* twlgei */, PPC::TWI, Convert__imm_95_5__RegGPRC1_0__S16Imm1_1, 0, { MCK_RegGPRC, MCK_S16Imm }, }, { 8711 /* twlgt */, PPC::TW, Convert__imm_95_1__RegGPRC1_0__RegGPRC1_1, 0, { MCK_RegGPRC, MCK_RegGPRC }, }, { 8717 /* twlgti */, PPC::TWI, Convert__imm_95_1__RegGPRC1_0__S16Imm1_1, 0, { MCK_RegGPRC, MCK_S16Imm }, }, { 8724 /* twlle */, PPC::TW, Convert__imm_95_6__RegGPRC1_0__RegGPRC1_1, 0, { MCK_RegGPRC, MCK_RegGPRC }, }, { 8730 /* twllei */, PPC::TWI, Convert__imm_95_6__RegGPRC1_0__S16Imm1_1, 0, { MCK_RegGPRC, MCK_S16Imm }, }, { 8737 /* twllt */, PPC::TW, Convert__imm_95_2__RegGPRC1_0__RegGPRC1_1, 0, { MCK_RegGPRC, MCK_RegGPRC }, }, { 8743 /* twllti */, PPC::TWI, Convert__imm_95_2__RegGPRC1_0__S16Imm1_1, 0, { MCK_RegGPRC, MCK_S16Imm }, }, { 8750 /* twlng */, PPC::TW, Convert__imm_95_6__RegGPRC1_0__RegGPRC1_1, 0, { MCK_RegGPRC, MCK_RegGPRC }, }, { 8756 /* twlngi */, PPC::TWI, Convert__imm_95_6__RegGPRC1_0__S16Imm1_1, 0, { MCK_RegGPRC, MCK_S16Imm }, }, { 8763 /* twlnl */, PPC::TW, Convert__imm_95_5__RegGPRC1_0__RegGPRC1_1, 0, { MCK_RegGPRC, MCK_RegGPRC }, }, { 8769 /* twlnli */, PPC::TWI, Convert__imm_95_5__RegGPRC1_0__S16Imm1_1, 0, { MCK_RegGPRC, MCK_S16Imm }, }, { 8776 /* twlt */, PPC::TW, Convert__imm_95_16__RegGPRC1_0__RegGPRC1_1, 0, { MCK_RegGPRC, MCK_RegGPRC }, }, { 8781 /* twlti */, PPC::TWI, Convert__imm_95_16__RegGPRC1_0__S16Imm1_1, 0, { MCK_RegGPRC, MCK_S16Imm }, }, { 8787 /* twne */, PPC::TW, Convert__imm_95_24__RegGPRC1_0__RegGPRC1_1, 0, { MCK_RegGPRC, MCK_RegGPRC }, }, { 8792 /* twnei */, PPC::TWI, Convert__imm_95_24__RegGPRC1_0__S16Imm1_1, 0, { MCK_RegGPRC, MCK_S16Imm }, }, { 8798 /* twng */, PPC::TW, Convert__imm_95_20__RegGPRC1_0__RegGPRC1_1, 0, { MCK_RegGPRC, MCK_RegGPRC }, }, { 8803 /* twngi */, PPC::TWI, Convert__imm_95_20__RegGPRC1_0__S16Imm1_1, 0, { MCK_RegGPRC, MCK_S16Imm }, }, { 8809 /* twnl */, PPC::TW, Convert__imm_95_12__RegGPRC1_0__RegGPRC1_1, 0, { MCK_RegGPRC, MCK_RegGPRC }, }, { 8814 /* twnli */, PPC::TWI, Convert__imm_95_12__RegGPRC1_0__S16Imm1_1, 0, { MCK_RegGPRC, MCK_S16Imm }, }, { 8820 /* twu */, PPC::TW, Convert__imm_95_31__RegGPRC1_0__RegGPRC1_1, 0, { MCK_RegGPRC, MCK_RegGPRC }, }, { 8824 /* twui */, PPC::TWI, Convert__imm_95_31__RegGPRC1_0__S16Imm1_1, 0, { MCK_RegGPRC, MCK_S16Imm }, }, { 8829 /* vaddcuq */, PPC::VADDCUQ, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, }, { 8837 /* vaddcuw */, PPC::VADDCUW, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, }, { 8845 /* vaddecuq */, PPC::VADDECUQ, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2__RegVRRC1_3, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, }, { 8854 /* vaddeuqm */, PPC::VADDEUQM, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2__RegVRRC1_3, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, }, { 8863 /* vaddfp */, PPC::VADDFP, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, }, { 8870 /* vaddsbs */, PPC::VADDSBS, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, }, { 8878 /* vaddshs */, PPC::VADDSHS, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, }, { 8886 /* vaddsws */, PPC::VADDSWS, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, }, { 8894 /* vaddubm */, PPC::VADDUBM, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, }, { 8902 /* vaddubs */, PPC::VADDUBS, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, }, { 8910 /* vaddudm */, PPC::VADDUDM, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, }, { 8918 /* vadduhm */, PPC::VADDUHM, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, }, { 8926 /* vadduhs */, PPC::VADDUHS, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, }, { 8934 /* vadduqm */, PPC::VADDUQM, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, }, { 8942 /* vadduwm */, PPC::VADDUWM, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, }, { 8950 /* vadduws */, PPC::VADDUWS, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, }, { 8958 /* vand */, PPC::VAND, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, }, { 8963 /* vandc */, PPC::VANDC, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, }, { 8969 /* vavgsb */, PPC::VAVGSB, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, }, { 8976 /* vavgsh */, PPC::VAVGSH, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, }, { 8983 /* vavgsw */, PPC::VAVGSW, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, }, { 8990 /* vavgub */, PPC::VAVGUB, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, }, { 8997 /* vavguh */, PPC::VAVGUH, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, }, { 9004 /* vavguw */, PPC::VAVGUW, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, }, { 9011 /* vbpermq */, PPC::VBPERMQ, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, }, { 9019 /* vcfsx */, PPC::VCFSX, Convert__RegVRRC1_0__U5Imm1_2__RegVRRC1_1, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_U5Imm }, }, { 9025 /* vcfux */, PPC::VCFUX, Convert__RegVRRC1_0__U5Imm1_2__RegVRRC1_1, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_U5Imm }, }, { 9031 /* vcipher */, PPC::VCIPHER, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, }, { 9039 /* vcipherlast */, PPC::VCIPHERLAST, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, }, { 9051 /* vclzb */, PPC::VCLZB, Convert__RegVRRC1_0__RegVRRC1_1, 0, { MCK_RegVRRC, MCK_RegVRRC }, }, { 9057 /* vclzd */, PPC::VCLZD, Convert__RegVRRC1_0__RegVRRC1_1, 0, { MCK_RegVRRC, MCK_RegVRRC }, }, { 9063 /* vclzh */, PPC::VCLZH, Convert__RegVRRC1_0__RegVRRC1_1, 0, { MCK_RegVRRC, MCK_RegVRRC }, }, { 9069 /* vclzw */, PPC::VCLZW, Convert__RegVRRC1_0__RegVRRC1_1, 0, { MCK_RegVRRC, MCK_RegVRRC }, }, { 9075 /* vcmpbfp */, PPC::VCMPBFP, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, }, { 9075 /* vcmpbfp */, PPC::VCMPBFPo, Convert__RegVRRC1_1__RegVRRC1_2__RegVRRC1_3, 0, { MCK__DOT_, MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, }, { 9083 /* vcmpeqfp */, PPC::VCMPEQFP, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, }, { 9083 /* vcmpeqfp */, PPC::VCMPEQFPo, Convert__RegVRRC1_1__RegVRRC1_2__RegVRRC1_3, 0, { MCK__DOT_, MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, }, { 9092 /* vcmpequb */, PPC::VCMPEQUB, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, }, { 9092 /* vcmpequb */, PPC::VCMPEQUBo, Convert__RegVRRC1_1__RegVRRC1_2__RegVRRC1_3, 0, { MCK__DOT_, MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, }, { 9101 /* vcmpequd */, PPC::VCMPEQUD, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, }, { 9101 /* vcmpequd */, PPC::VCMPEQUDo, Convert__RegVRRC1_1__RegVRRC1_2__RegVRRC1_3, 0, { MCK__DOT_, MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, }, { 9110 /* vcmpequh */, PPC::VCMPEQUH, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, }, { 9110 /* vcmpequh */, PPC::VCMPEQUHo, Convert__RegVRRC1_1__RegVRRC1_2__RegVRRC1_3, 0, { MCK__DOT_, MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, }, { 9119 /* vcmpequw */, PPC::VCMPEQUW, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, }, { 9119 /* vcmpequw */, PPC::VCMPEQUWo, Convert__RegVRRC1_1__RegVRRC1_2__RegVRRC1_3, 0, { MCK__DOT_, MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, }, { 9128 /* vcmpgefp */, PPC::VCMPGEFP, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, }, { 9128 /* vcmpgefp */, PPC::VCMPGEFPo, Convert__RegVRRC1_1__RegVRRC1_2__RegVRRC1_3, 0, { MCK__DOT_, MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, }, { 9137 /* vcmpgtfp */, PPC::VCMPGTFP, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, }, { 9137 /* vcmpgtfp */, PPC::VCMPGTFPo, Convert__RegVRRC1_1__RegVRRC1_2__RegVRRC1_3, 0, { MCK__DOT_, MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, }, { 9146 /* vcmpgtsb */, PPC::VCMPGTSB, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, }, { 9146 /* vcmpgtsb */, PPC::VCMPGTSBo, Convert__RegVRRC1_1__RegVRRC1_2__RegVRRC1_3, 0, { MCK__DOT_, MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, }, { 9155 /* vcmpgtsd */, PPC::VCMPGTSD, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, }, { 9155 /* vcmpgtsd */, PPC::VCMPGTSDo, Convert__RegVRRC1_1__RegVRRC1_2__RegVRRC1_3, 0, { MCK__DOT_, MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, }, { 9164 /* vcmpgtsh */, PPC::VCMPGTSH, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, }, { 9164 /* vcmpgtsh */, PPC::VCMPGTSHo, Convert__RegVRRC1_1__RegVRRC1_2__RegVRRC1_3, 0, { MCK__DOT_, MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, }, { 9173 /* vcmpgtsw */, PPC::VCMPGTSW, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, }, { 9173 /* vcmpgtsw */, PPC::VCMPGTSWo, Convert__RegVRRC1_1__RegVRRC1_2__RegVRRC1_3, 0, { MCK__DOT_, MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, }, { 9182 /* vcmpgtub */, PPC::VCMPGTUB, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, }, { 9182 /* vcmpgtub */, PPC::VCMPGTUBo, Convert__RegVRRC1_1__RegVRRC1_2__RegVRRC1_3, 0, { MCK__DOT_, MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, }, { 9191 /* vcmpgtud */, PPC::VCMPGTUD, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, }, { 9191 /* vcmpgtud */, PPC::VCMPGTUDo, Convert__RegVRRC1_1__RegVRRC1_2__RegVRRC1_3, 0, { MCK__DOT_, MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, }, { 9200 /* vcmpgtuh */, PPC::VCMPGTUH, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, }, { 9200 /* vcmpgtuh */, PPC::VCMPGTUHo, Convert__RegVRRC1_1__RegVRRC1_2__RegVRRC1_3, 0, { MCK__DOT_, MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, }, { 9209 /* vcmpgtuw */, PPC::VCMPGTUW, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, }, { 9209 /* vcmpgtuw */, PPC::VCMPGTUWo, Convert__RegVRRC1_1__RegVRRC1_2__RegVRRC1_3, 0, { MCK__DOT_, MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, }, { 9218 /* vctsxs */, PPC::VCTSXS, Convert__RegVRRC1_0__U5Imm1_2__RegVRRC1_1, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_U5Imm }, }, { 9225 /* vctuxs */, PPC::VCTUXS, Convert__RegVRRC1_0__U5Imm1_2__RegVRRC1_1, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_U5Imm }, }, { 9232 /* veqv */, PPC::VEQV, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, }, { 9237 /* vexptefp */, PPC::VEXPTEFP, Convert__RegVRRC1_0__RegVRRC1_1, 0, { MCK_RegVRRC, MCK_RegVRRC }, }, { 9246 /* vgbbd */, PPC::VGBBD, Convert__RegVRRC1_0__RegVRRC1_1, 0, { MCK_RegVRRC, MCK_RegVRRC }, }, { 9252 /* vlogefp */, PPC::VLOGEFP, Convert__RegVRRC1_0__RegVRRC1_1, 0, { MCK_RegVRRC, MCK_RegVRRC }, }, { 9260 /* vmaddfp */, PPC::VMADDFP, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2__RegVRRC1_3, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, }, { 9268 /* vmaxfp */, PPC::VMAXFP, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, }, { 9275 /* vmaxsb */, PPC::VMAXSB, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, }, { 9282 /* vmaxsd */, PPC::VMAXSD, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, }, { 9289 /* vmaxsh */, PPC::VMAXSH, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, }, { 9296 /* vmaxsw */, PPC::VMAXSW, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, }, { 9303 /* vmaxub */, PPC::VMAXUB, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, }, { 9310 /* vmaxud */, PPC::VMAXUD, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, }, { 9317 /* vmaxuh */, PPC::VMAXUH, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, }, { 9324 /* vmaxuw */, PPC::VMAXUW, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, }, { 9331 /* vmhaddshs */, PPC::VMHADDSHS, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2__RegVRRC1_3, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, }, { 9341 /* vmhraddshs */, PPC::VMHRADDSHS, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2__RegVRRC1_3, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, }, { 9352 /* vminfp */, PPC::VMINFP, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, }, { 9359 /* vminsb */, PPC::VMINSB, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, }, { 9366 /* vminsd */, PPC::VMINSD, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, }, { 9373 /* vminsh */, PPC::VMINSH, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, }, { 9380 /* vminsw */, PPC::VMINSW, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, }, { 9387 /* vminub */, PPC::VMINUB, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, }, { 9394 /* vminud */, PPC::VMINUD, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, }, { 9401 /* vminuh */, PPC::VMINUH, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, }, { 9408 /* vminuw */, PPC::VMINUW, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, }, { 9415 /* vmladduhm */, PPC::VMLADDUHM, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2__RegVRRC1_3, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, }, { 9425 /* vmrgew */, PPC::VMRGEW, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, }, { 9432 /* vmrghb */, PPC::VMRGHB, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, }, { 9439 /* vmrghh */, PPC::VMRGHH, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, }, { 9446 /* vmrghw */, PPC::VMRGHW, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, }, { 9453 /* vmrglb */, PPC::VMRGLB, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, }, { 9460 /* vmrglh */, PPC::VMRGLH, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, }, { 9467 /* vmrglw */, PPC::VMRGLW, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, }, { 9474 /* vmrgow */, PPC::VMRGOW, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, }, { 9481 /* vmsummbm */, PPC::VMSUMMBM, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2__RegVRRC1_3, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, }, { 9490 /* vmsumshm */, PPC::VMSUMSHM, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2__RegVRRC1_3, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, }, { 9499 /* vmsumshs */, PPC::VMSUMSHS, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2__RegVRRC1_3, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, }, { 9508 /* vmsumubm */, PPC::VMSUMUBM, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2__RegVRRC1_3, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, }, { 9517 /* vmsumuhm */, PPC::VMSUMUHM, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2__RegVRRC1_3, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, }, { 9526 /* vmsumuhs */, PPC::VMSUMUHS, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2__RegVRRC1_3, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, }, { 9535 /* vmulesb */, PPC::VMULESB, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, }, { 9543 /* vmulesh */, PPC::VMULESH, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, }, { 9551 /* vmulesw */, PPC::VMULESW, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, }, { 9559 /* vmuleub */, PPC::VMULEUB, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, }, { 9567 /* vmuleuh */, PPC::VMULEUH, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, }, { 9575 /* vmuleuw */, PPC::VMULEUW, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, }, { 9583 /* vmulosb */, PPC::VMULOSB, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, }, { 9591 /* vmulosh */, PPC::VMULOSH, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, }, { 9599 /* vmulosw */, PPC::VMULOSW, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, }, { 9607 /* vmuloub */, PPC::VMULOUB, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, }, { 9615 /* vmulouh */, PPC::VMULOUH, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, }, { 9623 /* vmulouw */, PPC::VMULOUW, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, }, { 9631 /* vmuluwm */, PPC::VMULUWM, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, }, { 9639 /* vnand */, PPC::VNAND, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, }, { 9645 /* vncipher */, PPC::VNCIPHER, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, }, { 9654 /* vncipherlast */, PPC::VNCIPHERLAST, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, }, { 9667 /* vnmsubfp */, PPC::VNMSUBFP, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2__RegVRRC1_3, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, }, { 9676 /* vnor */, PPC::VNOR, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, }, { 9681 /* vor */, PPC::VOR, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, }, { 9685 /* vorc */, PPC::VORC, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, }, { 9690 /* vperm */, PPC::VPERM, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2__RegVRRC1_3, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, }, { 9696 /* vpermxor */, PPC::VPERMXOR, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2__RegVRRC1_3, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, }, { 9705 /* vpkpx */, PPC::VPKPX, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, }, { 9711 /* vpksdss */, PPC::VPKSDSS, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, }, { 9719 /* vpksdus */, PPC::VPKSDUS, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, }, { 9727 /* vpkshss */, PPC::VPKSHSS, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, }, { 9735 /* vpkshus */, PPC::VPKSHUS, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, }, { 9743 /* vpkswss */, PPC::VPKSWSS, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, }, { 9751 /* vpkswus */, PPC::VPKSWUS, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, }, { 9759 /* vpkudum */, PPC::VPKUDUM, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, }, { 9767 /* vpkudus */, PPC::VPKUDUS, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, }, { 9775 /* vpkuhum */, PPC::VPKUHUM, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, }, { 9783 /* vpkuhus */, PPC::VPKUHUS, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, }, { 9791 /* vpkuwum */, PPC::VPKUWUM, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, }, { 9799 /* vpkuwus */, PPC::VPKUWUS, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, }, { 9807 /* vpmsumb */, PPC::VPMSUMB, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, }, { 9815 /* vpmsumd */, PPC::VPMSUMD, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, }, { 9823 /* vpmsumh */, PPC::VPMSUMH, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, }, { 9831 /* vpmsumw */, PPC::VPMSUMW, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, }, { 9839 /* vpopcntb */, PPC::VPOPCNTB, Convert__RegVRRC1_0__RegVRRC1_1, 0, { MCK_RegVRRC, MCK_RegVRRC }, }, { 9848 /* vpopcntd */, PPC::VPOPCNTD, Convert__RegVRRC1_0__RegVRRC1_1, 0, { MCK_RegVRRC, MCK_RegVRRC }, }, { 9857 /* vpopcnth */, PPC::VPOPCNTH, Convert__RegVRRC1_0__RegVRRC1_1, 0, { MCK_RegVRRC, MCK_RegVRRC }, }, { 9866 /* vpopcntw */, PPC::VPOPCNTW, Convert__RegVRRC1_0__RegVRRC1_1, 0, { MCK_RegVRRC, MCK_RegVRRC }, }, { 9875 /* vrefp */, PPC::VREFP, Convert__RegVRRC1_0__RegVRRC1_1, 0, { MCK_RegVRRC, MCK_RegVRRC }, }, { 9881 /* vrfim */, PPC::VRFIM, Convert__RegVRRC1_0__RegVRRC1_1, 0, { MCK_RegVRRC, MCK_RegVRRC }, }, { 9887 /* vrfin */, PPC::VRFIN, Convert__RegVRRC1_0__RegVRRC1_1, 0, { MCK_RegVRRC, MCK_RegVRRC }, }, { 9893 /* vrfip */, PPC::VRFIP, Convert__RegVRRC1_0__RegVRRC1_1, 0, { MCK_RegVRRC, MCK_RegVRRC }, }, { 9899 /* vrfiz */, PPC::VRFIZ, Convert__RegVRRC1_0__RegVRRC1_1, 0, { MCK_RegVRRC, MCK_RegVRRC }, }, { 9905 /* vrlb */, PPC::VRLB, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, }, { 9910 /* vrld */, PPC::VRLD, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, }, { 9915 /* vrlh */, PPC::VRLH, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, }, { 9920 /* vrlw */, PPC::VRLW, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, }, { 9925 /* vrsqrtefp */, PPC::VRSQRTEFP, Convert__RegVRRC1_0__RegVRRC1_1, 0, { MCK_RegVRRC, MCK_RegVRRC }, }, { 9935 /* vsbox */, PPC::VSBOX, Convert__RegVRRC1_0__RegVRRC1_1, 0, { MCK_RegVRRC, MCK_RegVRRC }, }, { 9941 /* vsel */, PPC::VSEL, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2__RegVRRC1_3, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, }, { 9946 /* vshasigmad */, PPC::VSHASIGMAD, Convert__RegVRRC1_0__RegVRRC1_1__U1Imm1_2__U4Imm1_3, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_U1Imm, MCK_U4Imm }, }, { 9957 /* vshasigmaw */, PPC::VSHASIGMAW, Convert__RegVRRC1_0__RegVRRC1_1__U1Imm1_2__U4Imm1_3, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_U1Imm, MCK_U4Imm }, }, { 9968 /* vsl */, PPC::VSL, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, }, { 9972 /* vslb */, PPC::VSLB, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, }, { 9977 /* vsld */, PPC::VSLD, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, }, { 9982 /* vsldoi */, PPC::VSLDOI, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2__U5Imm1_3, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC, MCK_U5Imm }, }, { 9989 /* vslh */, PPC::VSLH, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, }, { 9994 /* vslo */, PPC::VSLO, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, }, { 9999 /* vslw */, PPC::VSLW, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, }, { 10004 /* vspltb */, PPC::VSPLTB, Convert__RegVRRC1_0__U5Imm1_2__RegVRRC1_1, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_U5Imm }, }, { 10011 /* vsplth */, PPC::VSPLTH, Convert__RegVRRC1_0__U5Imm1_2__RegVRRC1_1, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_U5Imm }, }, { 10018 /* vspltisb */, PPC::VSPLTISB, Convert__RegVRRC1_0__S5Imm1_1, 0, { MCK_RegVRRC, MCK_S5Imm }, }, { 10027 /* vspltish */, PPC::VSPLTISH, Convert__RegVRRC1_0__S5Imm1_1, 0, { MCK_RegVRRC, MCK_S5Imm }, }, { 10036 /* vspltisw */, PPC::VSPLTISW, Convert__RegVRRC1_0__S5Imm1_1, 0, { MCK_RegVRRC, MCK_S5Imm }, }, { 10045 /* vspltw */, PPC::VSPLTW, Convert__RegVRRC1_0__U5Imm1_2__RegVRRC1_1, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_U5Imm }, }, { 10052 /* vsr */, PPC::VSR, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, }, { 10056 /* vsrab */, PPC::VSRAB, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, }, { 10062 /* vsrad */, PPC::VSRAD, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, }, { 10068 /* vsrah */, PPC::VSRAH, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, }, { 10074 /* vsraw */, PPC::VSRAW, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, }, { 10080 /* vsrb */, PPC::VSRB, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, }, { 10085 /* vsrd */, PPC::VSRD, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, }, { 10090 /* vsrh */, PPC::VSRH, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, }, { 10095 /* vsro */, PPC::VSRO, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, }, { 10100 /* vsrw */, PPC::VSRW, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, }, { 10105 /* vsubcuq */, PPC::VSUBCUQ, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, }, { 10113 /* vsubcuw */, PPC::VSUBCUW, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, }, { 10121 /* vsubecuq */, PPC::VSUBECUQ, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2__RegVRRC1_3, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, }, { 10130 /* vsubeuqm */, PPC::VSUBEUQM, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2__RegVRRC1_3, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, }, { 10139 /* vsubfp */, PPC::VSUBFP, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, }, { 10146 /* vsubsbs */, PPC::VSUBSBS, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, }, { 10154 /* vsubshs */, PPC::VSUBSHS, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, }, { 10162 /* vsubsws */, PPC::VSUBSWS, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, }, { 10170 /* vsububm */, PPC::VSUBUBM, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, }, { 10178 /* vsububs */, PPC::VSUBUBS, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, }, { 10186 /* vsubudm */, PPC::VSUBUDM, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, }, { 10194 /* vsubuhm */, PPC::VSUBUHM, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, }, { 10202 /* vsubuhs */, PPC::VSUBUHS, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, }, { 10210 /* vsubuqm */, PPC::VSUBUQM, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, }, { 10218 /* vsubuwm */, PPC::VSUBUWM, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, }, { 10226 /* vsubuws */, PPC::VSUBUWS, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, }, { 10234 /* vsum2sws */, PPC::VSUM2SWS, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, }, { 10243 /* vsum4sbs */, PPC::VSUM4SBS, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, }, { 10252 /* vsum4shs */, PPC::VSUM4SHS, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, }, { 10261 /* vsum4ubs */, PPC::VSUM4UBS, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, }, { 10270 /* vsumsws */, PPC::VSUMSWS, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, }, { 10278 /* vupkhpx */, PPC::VUPKHPX, Convert__RegVRRC1_0__RegVRRC1_1, 0, { MCK_RegVRRC, MCK_RegVRRC }, }, { 10286 /* vupkhsb */, PPC::VUPKHSB, Convert__RegVRRC1_0__RegVRRC1_1, 0, { MCK_RegVRRC, MCK_RegVRRC }, }, { 10294 /* vupkhsh */, PPC::VUPKHSH, Convert__RegVRRC1_0__RegVRRC1_1, 0, { MCK_RegVRRC, MCK_RegVRRC }, }, { 10302 /* vupkhsw */, PPC::VUPKHSW, Convert__RegVRRC1_0__RegVRRC1_1, 0, { MCK_RegVRRC, MCK_RegVRRC }, }, { 10310 /* vupklpx */, PPC::VUPKLPX, Convert__RegVRRC1_0__RegVRRC1_1, 0, { MCK_RegVRRC, MCK_RegVRRC }, }, { 10318 /* vupklsb */, PPC::VUPKLSB, Convert__RegVRRC1_0__RegVRRC1_1, 0, { MCK_RegVRRC, MCK_RegVRRC }, }, { 10326 /* vupklsh */, PPC::VUPKLSH, Convert__RegVRRC1_0__RegVRRC1_1, 0, { MCK_RegVRRC, MCK_RegVRRC }, }, { 10334 /* vupklsw */, PPC::VUPKLSW, Convert__RegVRRC1_0__RegVRRC1_1, 0, { MCK_RegVRRC, MCK_RegVRRC }, }, { 10342 /* vxor */, PPC::VXOR, Convert__RegVRRC1_0__RegVRRC1_1__RegVRRC1_2, 0, { MCK_RegVRRC, MCK_RegVRRC, MCK_RegVRRC }, }, { 10347 /* wait */, PPC::WAIT, Convert__imm_95_0, 0, { }, }, { 10347 /* wait */, PPC::WAIT, Convert__Imm1_0, 0, { MCK_Imm }, }, { 10352 /* waitimpl */, PPC::WAIT, Convert__imm_95_2, 0, { }, }, { 10361 /* waitrsv */, PPC::WAIT, Convert__imm_95_1, 0, { }, }, { 10369 /* wrtee */, PPC::WRTEE, Convert__RegGPRC1_0, 0, { MCK_RegGPRC }, }, { 10375 /* wrteei */, PPC::WRTEEI, Convert__Imm1_0, 0, { MCK_Imm }, }, { 10382 /* xnop */, PPC::XORI, Convert__regR0__regR0__imm_95_0, 0, { }, }, { 10387 /* xor */, PPC::XOR, Convert__RegGPRC1_0__RegGPRC1_1__RegGPRC1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, }, { 10387 /* xor */, PPC::XORo, Convert__RegGPRC1_1__RegGPRC1_2__RegGPRC1_3, 0, { MCK__DOT_, MCK_RegGPRC, MCK_RegGPRC, MCK_RegGPRC }, }, { 10391 /* xori */, PPC::XORI, Convert__RegGPRC1_0__RegGPRC1_1__U16Imm1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_U16Imm }, }, { 10396 /* xoris */, PPC::XORIS, Convert__RegGPRC1_0__RegGPRC1_1__U16Imm1_2, 0, { MCK_RegGPRC, MCK_RegGPRC, MCK_U16Imm }, }, { 10402 /* xsabsdp */, PPC::XSABSDP, Convert__RegVSFRC1_0__RegVSFRC1_1, 0, { MCK_RegVSFRC, MCK_RegVSFRC }, }, { 10410 /* xsadddp */, PPC::XSADDDP, Convert__RegVSFRC1_0__RegVSFRC1_1__RegVSFRC1_2, 0, { MCK_RegVSFRC, MCK_RegVSFRC, MCK_RegVSFRC }, }, { 10418 /* xsaddsp */, PPC::XSADDSP, Convert__RegVSSRC1_0__RegVSSRC1_1__RegVSSRC1_2, 0, { MCK_RegVSSRC, MCK_RegVSSRC, MCK_RegVSSRC }, }, { 10426 /* xscmpodp */, PPC::XSCMPODP, Convert__RegCRRC1_0__RegVSFRC1_1__RegVSFRC1_2, 0, { MCK_RegCRRC, MCK_RegVSFRC, MCK_RegVSFRC }, }, { 10435 /* xscmpudp */, PPC::XSCMPUDP, Convert__RegCRRC1_0__RegVSFRC1_1__RegVSFRC1_2, 0, { MCK_RegCRRC, MCK_RegVSFRC, MCK_RegVSFRC }, }, { 10444 /* xscpsgndp */, PPC::XSCPSGNDP, Convert__RegVSFRC1_0__RegVSFRC1_1__RegVSFRC1_2, 0, { MCK_RegVSFRC, MCK_RegVSFRC, MCK_RegVSFRC }, }, { 10454 /* xscvdpsp */, PPC::XSCVDPSP, Convert__RegVSFRC1_0__RegVSFRC1_1, 0, { MCK_RegVSFRC, MCK_RegVSFRC }, }, { 10463 /* xscvdpspn */, PPC::XSCVDPSPN, Convert__RegVSRC1_0__RegVSSRC1_1, 0, { MCK_RegVSRC, MCK_RegVSSRC }, }, { 10473 /* xscvdpsxds */, PPC::XSCVDPSXDS, Convert__RegVSFRC1_0__RegVSFRC1_1, 0, { MCK_RegVSFRC, MCK_RegVSFRC }, }, { 10484 /* xscvdpsxws */, PPC::XSCVDPSXWS, Convert__RegVSFRC1_0__RegVSFRC1_1, 0, { MCK_RegVSFRC, MCK_RegVSFRC }, }, { 10495 /* xscvdpuxds */, PPC::XSCVDPUXDS, Convert__RegVSFRC1_0__RegVSFRC1_1, 0, { MCK_RegVSFRC, MCK_RegVSFRC }, }, { 10506 /* xscvdpuxws */, PPC::XSCVDPUXWS, Convert__RegVSFRC1_0__RegVSFRC1_1, 0, { MCK_RegVSFRC, MCK_RegVSFRC }, }, { 10517 /* xscvspdp */, PPC::XSCVSPDP, Convert__RegVSFRC1_0__RegVSFRC1_1, 0, { MCK_RegVSFRC, MCK_RegVSFRC }, }, { 10526 /* xscvspdpn */, PPC::XSCVSPDPN, Convert__RegVSSRC1_0__RegVSRC1_1, 0, { MCK_RegVSSRC, MCK_RegVSRC }, }, { 10536 /* xscvsxddp */, PPC::XSCVSXDDP, Convert__RegVSFRC1_0__RegVSFRC1_1, 0, { MCK_RegVSFRC, MCK_RegVSFRC }, }, { 10546 /* xscvsxdsp */, PPC::XSCVSXDSP, Convert__RegVSSRC1_0__RegVSFRC1_1, 0, { MCK_RegVSSRC, MCK_RegVSFRC }, }, { 10556 /* xscvuxddp */, PPC::XSCVUXDDP, Convert__RegVSFRC1_0__RegVSFRC1_1, 0, { MCK_RegVSFRC, MCK_RegVSFRC }, }, { 10566 /* xscvuxdsp */, PPC::XSCVUXDSP, Convert__RegVSSRC1_0__RegVSFRC1_1, 0, { MCK_RegVSSRC, MCK_RegVSFRC }, }, { 10576 /* xsdivdp */, PPC::XSDIVDP, Convert__RegVSFRC1_0__RegVSFRC1_1__RegVSFRC1_2, 0, { MCK_RegVSFRC, MCK_RegVSFRC, MCK_RegVSFRC }, }, { 10584 /* xsdivsp */, PPC::XSDIVSP, Convert__RegVSSRC1_0__RegVSSRC1_1__RegVSSRC1_2, 0, { MCK_RegVSSRC, MCK_RegVSSRC, MCK_RegVSSRC }, }, { 10592 /* xsmaddadp */, PPC::XSMADDADP, Convert__RegVSFRC1_0__Tie0__RegVSFRC1_1__RegVSFRC1_2, 0, { MCK_RegVSFRC, MCK_RegVSFRC, MCK_RegVSFRC }, }, { 10602 /* xsmaddasp */, PPC::XSMADDASP, Convert__RegVSSRC1_0__Tie0__RegVSSRC1_1__RegVSSRC1_2, 0, { MCK_RegVSSRC, MCK_RegVSSRC, MCK_RegVSSRC }, }, { 10612 /* xsmaddmdp */, PPC::XSMADDMDP, Convert__RegVSFRC1_0__Tie0__RegVSFRC1_1__RegVSFRC1_2, 0, { MCK_RegVSFRC, MCK_RegVSFRC, MCK_RegVSFRC }, }, { 10622 /* xsmaddmsp */, PPC::XSMADDMSP, Convert__RegVSSRC1_0__Tie0__RegVSSRC1_1__RegVSSRC1_2, 0, { MCK_RegVSSRC, MCK_RegVSSRC, MCK_RegVSSRC }, }, { 10632 /* xsmaxdp */, PPC::XSMAXDP, Convert__RegVSFRC1_0__RegVSFRC1_1__RegVSFRC1_2, 0, { MCK_RegVSFRC, MCK_RegVSFRC, MCK_RegVSFRC }, }, { 10640 /* xsmindp */, PPC::XSMINDP, Convert__RegVSFRC1_0__RegVSFRC1_1__RegVSFRC1_2, 0, { MCK_RegVSFRC, MCK_RegVSFRC, MCK_RegVSFRC }, }, { 10648 /* xsmsubadp */, PPC::XSMSUBADP, Convert__RegVSFRC1_0__Tie0__RegVSFRC1_1__RegVSFRC1_2, 0, { MCK_RegVSFRC, MCK_RegVSFRC, MCK_RegVSFRC }, }, { 10658 /* xsmsubasp */, PPC::XSMSUBASP, Convert__RegVSSRC1_0__Tie0__RegVSSRC1_1__RegVSSRC1_2, 0, { MCK_RegVSSRC, MCK_RegVSSRC, MCK_RegVSSRC }, }, { 10668 /* xsmsubmdp */, PPC::XSMSUBMDP, Convert__RegVSFRC1_0__Tie0__RegVSFRC1_1__RegVSFRC1_2, 0, { MCK_RegVSFRC, MCK_RegVSFRC, MCK_RegVSFRC }, }, { 10678 /* xsmsubmsp */, PPC::XSMSUBMSP, Convert__RegVSSRC1_0__Tie0__RegVSSRC1_1__RegVSSRC1_2, 0, { MCK_RegVSSRC, MCK_RegVSSRC, MCK_RegVSSRC }, }, { 10688 /* xsmuldp */, PPC::XSMULDP, Convert__RegVSFRC1_0__RegVSFRC1_1__RegVSFRC1_2, 0, { MCK_RegVSFRC, MCK_RegVSFRC, MCK_RegVSFRC }, }, { 10696 /* xsmulsp */, PPC::XSMULSP, Convert__RegVSSRC1_0__RegVSSRC1_1__RegVSSRC1_2, 0, { MCK_RegVSSRC, MCK_RegVSSRC, MCK_RegVSSRC }, }, { 10704 /* xsnabsdp */, PPC::XSNABSDP, Convert__RegVSFRC1_0__RegVSFRC1_1, 0, { MCK_RegVSFRC, MCK_RegVSFRC }, }, { 10713 /* xsnegdp */, PPC::XSNEGDP, Convert__RegVSFRC1_0__RegVSFRC1_1, 0, { MCK_RegVSFRC, MCK_RegVSFRC }, }, { 10721 /* xsnmaddadp */, PPC::XSNMADDADP, Convert__RegVSFRC1_0__Tie0__RegVSFRC1_1__RegVSFRC1_2, 0, { MCK_RegVSFRC, MCK_RegVSFRC, MCK_RegVSFRC }, }, { 10732 /* xsnmaddasp */, PPC::XSNMADDASP, Convert__RegVSSRC1_0__Tie0__RegVSSRC1_1__RegVSSRC1_2, 0, { MCK_RegVSSRC, MCK_RegVSSRC, MCK_RegVSSRC }, }, { 10743 /* xsnmaddmdp */, PPC::XSNMADDMDP, Convert__RegVSFRC1_0__Tie0__RegVSFRC1_1__RegVSFRC1_2, 0, { MCK_RegVSFRC, MCK_RegVSFRC, MCK_RegVSFRC }, }, { 10754 /* xsnmaddmsp */, PPC::XSNMADDMSP, Convert__RegVSSRC1_0__Tie0__RegVSSRC1_1__RegVSSRC1_2, 0, { MCK_RegVSSRC, MCK_RegVSSRC, MCK_RegVSSRC }, }, { 10765 /* xsnmsubadp */, PPC::XSNMSUBADP, Convert__RegVSFRC1_0__Tie0__RegVSFRC1_1__RegVSFRC1_2, 0, { MCK_RegVSFRC, MCK_RegVSFRC, MCK_RegVSFRC }, }, { 10776 /* xsnmsubasp */, PPC::XSNMSUBASP, Convert__RegVSSRC1_0__Tie0__RegVSSRC1_1__RegVSSRC1_2, 0, { MCK_RegVSSRC, MCK_RegVSSRC, MCK_RegVSSRC }, }, { 10787 /* xsnmsubmdp */, PPC::XSNMSUBMDP, Convert__RegVSFRC1_0__Tie0__RegVSFRC1_1__RegVSFRC1_2, 0, { MCK_RegVSFRC, MCK_RegVSFRC, MCK_RegVSFRC }, }, { 10798 /* xsnmsubmsp */, PPC::XSNMSUBMSP, Convert__RegVSSRC1_0__Tie0__RegVSSRC1_1__RegVSSRC1_2, 0, { MCK_RegVSSRC, MCK_RegVSSRC, MCK_RegVSSRC }, }, { 10809 /* xsrdpi */, PPC::XSRDPI, Convert__RegVSFRC1_0__RegVSFRC1_1, 0, { MCK_RegVSFRC, MCK_RegVSFRC }, }, { 10816 /* xsrdpic */, PPC::XSRDPIC, Convert__RegVSFRC1_0__RegVSFRC1_1, 0, { MCK_RegVSFRC, MCK_RegVSFRC }, }, { 10824 /* xsrdpim */, PPC::XSRDPIM, Convert__RegVSFRC1_0__RegVSFRC1_1, 0, { MCK_RegVSFRC, MCK_RegVSFRC }, }, { 10832 /* xsrdpip */, PPC::XSRDPIP, Convert__RegVSFRC1_0__RegVSFRC1_1, 0, { MCK_RegVSFRC, MCK_RegVSFRC }, }, { 10840 /* xsrdpiz */, PPC::XSRDPIZ, Convert__RegVSFRC1_0__RegVSFRC1_1, 0, { MCK_RegVSFRC, MCK_RegVSFRC }, }, { 10848 /* xsredp */, PPC::XSREDP, Convert__RegVSFRC1_0__RegVSFRC1_1, 0, { MCK_RegVSFRC, MCK_RegVSFRC }, }, { 10855 /* xsresp */, PPC::XSRESP, Convert__RegVSSRC1_0__RegVSSRC1_1, 0, { MCK_RegVSSRC, MCK_RegVSSRC }, }, { 10862 /* xsrsqrtedp */, PPC::XSRSQRTEDP, Convert__RegVSFRC1_0__RegVSFRC1_1, 0, { MCK_RegVSFRC, MCK_RegVSFRC }, }, { 10873 /* xsrsqrtesp */, PPC::XSRSQRTESP, Convert__RegVSSRC1_0__RegVSSRC1_1, 0, { MCK_RegVSSRC, MCK_RegVSSRC }, }, { 10884 /* xssqrtdp */, PPC::XSSQRTDP, Convert__RegVSFRC1_0__RegVSFRC1_1, 0, { MCK_RegVSFRC, MCK_RegVSFRC }, }, { 10893 /* xssqrtsp */, PPC::XSSQRTSP, Convert__RegVSSRC1_0__RegVSSRC1_1, 0, { MCK_RegVSSRC, MCK_RegVSSRC }, }, { 10902 /* xssubdp */, PPC::XSSUBDP, Convert__RegVSFRC1_0__RegVSFRC1_1__RegVSFRC1_2, 0, { MCK_RegVSFRC, MCK_RegVSFRC, MCK_RegVSFRC }, }, { 10910 /* xssubsp */, PPC::XSSUBSP, Convert__RegVSSRC1_0__RegVSSRC1_1__RegVSSRC1_2, 0, { MCK_RegVSSRC, MCK_RegVSSRC, MCK_RegVSSRC }, }, { 10918 /* xstdivdp */, PPC::XSTDIVDP, Convert__RegCRRC1_0__RegVSFRC1_1__RegVSFRC1_2, 0, { MCK_RegCRRC, MCK_RegVSFRC, MCK_RegVSFRC }, }, { 10927 /* xstsqrtdp */, PPC::XSTSQRTDP, Convert__RegCRRC1_0__RegVSFRC1_1, 0, { MCK_RegCRRC, MCK_RegVSFRC }, }, { 10937 /* xvabsdp */, PPC::XVABSDP, Convert__RegVSRC1_0__RegVSRC1_1, 0, { MCK_RegVSRC, MCK_RegVSRC }, }, { 10945 /* xvabssp */, PPC::XVABSSP, Convert__RegVSRC1_0__RegVSRC1_1, 0, { MCK_RegVSRC, MCK_RegVSRC }, }, { 10953 /* xvadddp */, PPC::XVADDDP, Convert__RegVSRC1_0__RegVSRC1_1__RegVSRC1_2, 0, { MCK_RegVSRC, MCK_RegVSRC, MCK_RegVSRC }, }, { 10961 /* xvaddsp */, PPC::XVADDSP, Convert__RegVSRC1_0__RegVSRC1_1__RegVSRC1_2, 0, { MCK_RegVSRC, MCK_RegVSRC, MCK_RegVSRC }, }, { 10969 /* xvcmpeqdp */, PPC::XVCMPEQDP, Convert__RegVSRC1_0__RegVSRC1_1__RegVSRC1_2, 0, { MCK_RegVSRC, MCK_RegVSRC, MCK_RegVSRC }, }, { 10969 /* xvcmpeqdp */, PPC::XVCMPEQDPo, Convert__RegVSRC1_1__RegVSRC1_2__RegVSRC1_3, 0, { MCK__DOT_, MCK_RegVSRC, MCK_RegVSRC, MCK_RegVSRC }, }, { 10979 /* xvcmpeqsp */, PPC::XVCMPEQSP, Convert__RegVSRC1_0__RegVSRC1_1__RegVSRC1_2, 0, { MCK_RegVSRC, MCK_RegVSRC, MCK_RegVSRC }, }, { 10979 /* xvcmpeqsp */, PPC::XVCMPEQSPo, Convert__RegVSRC1_1__RegVSRC1_2__RegVSRC1_3, 0, { MCK__DOT_, MCK_RegVSRC, MCK_RegVSRC, MCK_RegVSRC }, }, { 10989 /* xvcmpgedp */, PPC::XVCMPGEDP, Convert__RegVSRC1_0__RegVSRC1_1__RegVSRC1_2, 0, { MCK_RegVSRC, MCK_RegVSRC, MCK_RegVSRC }, }, { 10989 /* xvcmpgedp */, PPC::XVCMPGEDPo, Convert__RegVSRC1_1__RegVSRC1_2__RegVSRC1_3, 0, { MCK__DOT_, MCK_RegVSRC, MCK_RegVSRC, MCK_RegVSRC }, }, { 10999 /* xvcmpgesp */, PPC::XVCMPGESP, Convert__RegVSRC1_0__RegVSRC1_1__RegVSRC1_2, 0, { MCK_RegVSRC, MCK_RegVSRC, MCK_RegVSRC }, }, { 10999 /* xvcmpgesp */, PPC::XVCMPGESPo, Convert__RegVSRC1_1__RegVSRC1_2__RegVSRC1_3, 0, { MCK__DOT_, MCK_RegVSRC, MCK_RegVSRC, MCK_RegVSRC }, }, { 11009 /* xvcmpgtdp */, PPC::XVCMPGTDP, Convert__RegVSRC1_0__RegVSRC1_1__RegVSRC1_2, 0, { MCK_RegVSRC, MCK_RegVSRC, MCK_RegVSRC }, }, { 11009 /* xvcmpgtdp */, PPC::XVCMPGTDPo, Convert__RegVSRC1_1__RegVSRC1_2__RegVSRC1_3, 0, { MCK__DOT_, MCK_RegVSRC, MCK_RegVSRC, MCK_RegVSRC }, }, { 11019 /* xvcmpgtsp */, PPC::XVCMPGTSP, Convert__RegVSRC1_0__RegVSRC1_1__RegVSRC1_2, 0, { MCK_RegVSRC, MCK_RegVSRC, MCK_RegVSRC }, }, { 11019 /* xvcmpgtsp */, PPC::XVCMPGTSPo, Convert__RegVSRC1_1__RegVSRC1_2__RegVSRC1_3, 0, { MCK__DOT_, MCK_RegVSRC, MCK_RegVSRC, MCK_RegVSRC }, }, { 11029 /* xvcpsgndp */, PPC::XVCPSGNDP, Convert__RegVSRC1_0__RegVSRC1_1__RegVSRC1_2, 0, { MCK_RegVSRC, MCK_RegVSRC, MCK_RegVSRC }, }, { 11039 /* xvcpsgnsp */, PPC::XVCPSGNSP, Convert__RegVSRC1_0__RegVSRC1_1__RegVSRC1_2, 0, { MCK_RegVSRC, MCK_RegVSRC, MCK_RegVSRC }, }, { 11049 /* xvcvdpsp */, PPC::XVCVDPSP, Convert__RegVSRC1_0__RegVSRC1_1, 0, { MCK_RegVSRC, MCK_RegVSRC }, }, { 11058 /* xvcvdpsxds */, PPC::XVCVDPSXDS, Convert__RegVSRC1_0__RegVSRC1_1, 0, { MCK_RegVSRC, MCK_RegVSRC }, }, { 11069 /* xvcvdpsxws */, PPC::XVCVDPSXWS, Convert__RegVSRC1_0__RegVSRC1_1, 0, { MCK_RegVSRC, MCK_RegVSRC }, }, { 11080 /* xvcvdpuxds */, PPC::XVCVDPUXDS, Convert__RegVSRC1_0__RegVSRC1_1, 0, { MCK_RegVSRC, MCK_RegVSRC }, }, { 11091 /* xvcvdpuxws */, PPC::XVCVDPUXWS, Convert__RegVSRC1_0__RegVSRC1_1, 0, { MCK_RegVSRC, MCK_RegVSRC }, }, { 11102 /* xvcvspdp */, PPC::XVCVSPDP, Convert__RegVSRC1_0__RegVSRC1_1, 0, { MCK_RegVSRC, MCK_RegVSRC }, }, { 11111 /* xvcvspsxds */, PPC::XVCVSPSXDS, Convert__RegVSRC1_0__RegVSRC1_1, 0, { MCK_RegVSRC, MCK_RegVSRC }, }, { 11122 /* xvcvspsxws */, PPC::XVCVSPSXWS, Convert__RegVSRC1_0__RegVSRC1_1, 0, { MCK_RegVSRC, MCK_RegVSRC }, }, { 11133 /* xvcvspuxds */, PPC::XVCVSPUXDS, Convert__RegVSRC1_0__RegVSRC1_1, 0, { MCK_RegVSRC, MCK_RegVSRC }, }, { 11144 /* xvcvspuxws */, PPC::XVCVSPUXWS, Convert__RegVSRC1_0__RegVSRC1_1, 0, { MCK_RegVSRC, MCK_RegVSRC }, }, { 11155 /* xvcvsxddp */, PPC::XVCVSXDDP, Convert__RegVSRC1_0__RegVSRC1_1, 0, { MCK_RegVSRC, MCK_RegVSRC }, }, { 11165 /* xvcvsxdsp */, PPC::XVCVSXDSP, Convert__RegVSRC1_0__RegVSRC1_1, 0, { MCK_RegVSRC, MCK_RegVSRC }, }, { 11175 /* xvcvsxwdp */, PPC::XVCVSXWDP, Convert__RegVSRC1_0__RegVSRC1_1, 0, { MCK_RegVSRC, MCK_RegVSRC }, }, { 11185 /* xvcvsxwsp */, PPC::XVCVSXWSP, Convert__RegVSRC1_0__RegVSRC1_1, 0, { MCK_RegVSRC, MCK_RegVSRC }, }, { 11195 /* xvcvuxddp */, PPC::XVCVUXDDP, Convert__RegVSRC1_0__RegVSRC1_1, 0, { MCK_RegVSRC, MCK_RegVSRC }, }, { 11205 /* xvcvuxdsp */, PPC::XVCVUXDSP, Convert__RegVSRC1_0__RegVSRC1_1, 0, { MCK_RegVSRC, MCK_RegVSRC }, }, { 11215 /* xvcvuxwdp */, PPC::XVCVUXWDP, Convert__RegVSRC1_0__RegVSRC1_1, 0, { MCK_RegVSRC, MCK_RegVSRC }, }, { 11225 /* xvcvuxwsp */, PPC::XVCVUXWSP, Convert__RegVSRC1_0__RegVSRC1_1, 0, { MCK_RegVSRC, MCK_RegVSRC }, }, { 11235 /* xvdivdp */, PPC::XVDIVDP, Convert__RegVSRC1_0__RegVSRC1_1__RegVSRC1_2, 0, { MCK_RegVSRC, MCK_RegVSRC, MCK_RegVSRC }, }, { 11243 /* xvdivsp */, PPC::XVDIVSP, Convert__RegVSRC1_0__RegVSRC1_1__RegVSRC1_2, 0, { MCK_RegVSRC, MCK_RegVSRC, MCK_RegVSRC }, }, { 11251 /* xvmaddadp */, PPC::XVMADDADP, Convert__RegVSRC1_0__Tie0__RegVSRC1_1__RegVSRC1_2, 0, { MCK_RegVSRC, MCK_RegVSRC, MCK_RegVSRC }, }, { 11261 /* xvmaddasp */, PPC::XVMADDASP, Convert__RegVSRC1_0__Tie0__RegVSRC1_1__RegVSRC1_2, 0, { MCK_RegVSRC, MCK_RegVSRC, MCK_RegVSRC }, }, { 11271 /* xvmaddmdp */, PPC::XVMADDMDP, Convert__RegVSRC1_0__Tie0__RegVSRC1_1__RegVSRC1_2, 0, { MCK_RegVSRC, MCK_RegVSRC, MCK_RegVSRC }, }, { 11281 /* xvmaddmsp */, PPC::XVMADDMSP, Convert__RegVSRC1_0__Tie0__RegVSRC1_1__RegVSRC1_2, 0, { MCK_RegVSRC, MCK_RegVSRC, MCK_RegVSRC }, }, { 11291 /* xvmaxdp */, PPC::XVMAXDP, Convert__RegVSRC1_0__RegVSRC1_1__RegVSRC1_2, 0, { MCK_RegVSRC, MCK_RegVSRC, MCK_RegVSRC }, }, { 11299 /* xvmaxsp */, PPC::XVMAXSP, Convert__RegVSRC1_0__RegVSRC1_1__RegVSRC1_2, 0, { MCK_RegVSRC, MCK_RegVSRC, MCK_RegVSRC }, }, { 11307 /* xvmindp */, PPC::XVMINDP, Convert__RegVSRC1_0__RegVSRC1_1__RegVSRC1_2, 0, { MCK_RegVSRC, MCK_RegVSRC, MCK_RegVSRC }, }, { 11315 /* xvminsp */, PPC::XVMINSP, Convert__RegVSRC1_0__RegVSRC1_1__RegVSRC1_2, 0, { MCK_RegVSRC, MCK_RegVSRC, MCK_RegVSRC }, }, { 11323 /* xvmovdp */, PPC::XVCPSGNDP, Convert__RegVSRC1_0__RegVSRC1_1__RegVSRC1_1, 0, { MCK_RegVSRC, MCK_RegVSRC }, }, { 11331 /* xvmovsp */, PPC::XVCPSGNSP, Convert__RegVSRC1_0__RegVSRC1_1__RegVSRC1_1, 0, { MCK_RegVSRC, MCK_RegVSRC }, }, { 11339 /* xvmsubadp */, PPC::XVMSUBADP, Convert__RegVSRC1_0__Tie0__RegVSRC1_1__RegVSRC1_2, 0, { MCK_RegVSRC, MCK_RegVSRC, MCK_RegVSRC }, }, { 11349 /* xvmsubasp */, PPC::XVMSUBASP, Convert__RegVSRC1_0__Tie0__RegVSRC1_1__RegVSRC1_2, 0, { MCK_RegVSRC, MCK_RegVSRC, MCK_RegVSRC }, }, { 11359 /* xvmsubmdp */, PPC::XVMSUBMDP, Convert__RegVSRC1_0__Tie0__RegVSRC1_1__RegVSRC1_2, 0, { MCK_RegVSRC, MCK_RegVSRC, MCK_RegVSRC }, }, { 11369 /* xvmsubmsp */, PPC::XVMSUBMSP, Convert__RegVSRC1_0__Tie0__RegVSRC1_1__RegVSRC1_2, 0, { MCK_RegVSRC, MCK_RegVSRC, MCK_RegVSRC }, }, { 11379 /* xvmuldp */, PPC::XVMULDP, Convert__RegVSRC1_0__RegVSRC1_1__RegVSRC1_2, 0, { MCK_RegVSRC, MCK_RegVSRC, MCK_RegVSRC }, }, { 11387 /* xvmulsp */, PPC::XVMULSP, Convert__RegVSRC1_0__RegVSRC1_1__RegVSRC1_2, 0, { MCK_RegVSRC, MCK_RegVSRC, MCK_RegVSRC }, }, { 11395 /* xvnabsdp */, PPC::XVNABSDP, Convert__RegVSRC1_0__RegVSRC1_1, 0, { MCK_RegVSRC, MCK_RegVSRC }, }, { 11404 /* xvnabssp */, PPC::XVNABSSP, Convert__RegVSRC1_0__RegVSRC1_1, 0, { MCK_RegVSRC, MCK_RegVSRC }, }, { 11413 /* xvnegdp */, PPC::XVNEGDP, Convert__RegVSRC1_0__RegVSRC1_1, 0, { MCK_RegVSRC, MCK_RegVSRC }, }, { 11421 /* xvnegsp */, PPC::XVNEGSP, Convert__RegVSRC1_0__RegVSRC1_1, 0, { MCK_RegVSRC, MCK_RegVSRC }, }, { 11429 /* xvnmaddadp */, PPC::XVNMADDADP, Convert__RegVSRC1_0__Tie0__RegVSRC1_1__RegVSRC1_2, 0, { MCK_RegVSRC, MCK_RegVSRC, MCK_RegVSRC }, }, { 11440 /* xvnmaddasp */, PPC::XVNMADDASP, Convert__RegVSRC1_0__Tie0__RegVSRC1_1__RegVSRC1_2, 0, { MCK_RegVSRC, MCK_RegVSRC, MCK_RegVSRC }, }, { 11451 /* xvnmaddmdp */, PPC::XVNMADDMDP, Convert__RegVSRC1_0__Tie0__RegVSRC1_1__RegVSRC1_2, 0, { MCK_RegVSRC, MCK_RegVSRC, MCK_RegVSRC }, }, { 11462 /* xvnmaddmsp */, PPC::XVNMADDMSP, Convert__RegVSRC1_0__Tie0__RegVSRC1_1__RegVSRC1_2, 0, { MCK_RegVSRC, MCK_RegVSRC, MCK_RegVSRC }, }, { 11473 /* xvnmsubadp */, PPC::XVNMSUBADP, Convert__RegVSRC1_0__Tie0__RegVSRC1_1__RegVSRC1_2, 0, { MCK_RegVSRC, MCK_RegVSRC, MCK_RegVSRC }, }, { 11484 /* xvnmsubasp */, PPC::XVNMSUBASP, Convert__RegVSRC1_0__Tie0__RegVSRC1_1__RegVSRC1_2, 0, { MCK_RegVSRC, MCK_RegVSRC, MCK_RegVSRC }, }, { 11495 /* xvnmsubmdp */, PPC::XVNMSUBMDP, Convert__RegVSRC1_0__Tie0__RegVSRC1_1__RegVSRC1_2, 0, { MCK_RegVSRC, MCK_RegVSRC, MCK_RegVSRC }, }, { 11506 /* xvnmsubmsp */, PPC::XVNMSUBMSP, Convert__RegVSRC1_0__Tie0__RegVSRC1_1__RegVSRC1_2, 0, { MCK_RegVSRC, MCK_RegVSRC, MCK_RegVSRC }, }, { 11517 /* xvrdpi */, PPC::XVRDPI, Convert__RegVSRC1_0__RegVSRC1_1, 0, { MCK_RegVSRC, MCK_RegVSRC }, }, { 11524 /* xvrdpic */, PPC::XVRDPIC, Convert__RegVSRC1_0__RegVSRC1_1, 0, { MCK_RegVSRC, MCK_RegVSRC }, }, { 11532 /* xvrdpim */, PPC::XVRDPIM, Convert__RegVSRC1_0__RegVSRC1_1, 0, { MCK_RegVSRC, MCK_RegVSRC }, }, { 11540 /* xvrdpip */, PPC::XVRDPIP, Convert__RegVSRC1_0__RegVSRC1_1, 0, { MCK_RegVSRC, MCK_RegVSRC }, }, { 11548 /* xvrdpiz */, PPC::XVRDPIZ, Convert__RegVSRC1_0__RegVSRC1_1, 0, { MCK_RegVSRC, MCK_RegVSRC }, }, { 11556 /* xvredp */, PPC::XVREDP, Convert__RegVSRC1_0__RegVSRC1_1, 0, { MCK_RegVSRC, MCK_RegVSRC }, }, { 11563 /* xvresp */, PPC::XVRESP, Convert__RegVSRC1_0__RegVSRC1_1, 0, { MCK_RegVSRC, MCK_RegVSRC }, }, { 11570 /* xvrspi */, PPC::XVRSPI, Convert__RegVSRC1_0__RegVSRC1_1, 0, { MCK_RegVSRC, MCK_RegVSRC }, }, { 11577 /* xvrspic */, PPC::XVRSPIC, Convert__RegVSRC1_0__RegVSRC1_1, 0, { MCK_RegVSRC, MCK_RegVSRC }, }, { 11585 /* xvrspim */, PPC::XVRSPIM, Convert__RegVSRC1_0__RegVSRC1_1, 0, { MCK_RegVSRC, MCK_RegVSRC }, }, { 11593 /* xvrspip */, PPC::XVRSPIP, Convert__RegVSRC1_0__RegVSRC1_1, 0, { MCK_RegVSRC, MCK_RegVSRC }, }, { 11601 /* xvrspiz */, PPC::XVRSPIZ, Convert__RegVSRC1_0__RegVSRC1_1, 0, { MCK_RegVSRC, MCK_RegVSRC }, }, { 11609 /* xvrsqrtedp */, PPC::XVRSQRTEDP, Convert__RegVSRC1_0__RegVSRC1_1, 0, { MCK_RegVSRC, MCK_RegVSRC }, }, { 11620 /* xvrsqrtesp */, PPC::XVRSQRTESP, Convert__RegVSRC1_0__RegVSRC1_1, 0, { MCK_RegVSRC, MCK_RegVSRC }, }, { 11631 /* xvsqrtdp */, PPC::XVSQRTDP, Convert__RegVSRC1_0__RegVSRC1_1, 0, { MCK_RegVSRC, MCK_RegVSRC }, }, { 11640 /* xvsqrtsp */, PPC::XVSQRTSP, Convert__RegVSRC1_0__RegVSRC1_1, 0, { MCK_RegVSRC, MCK_RegVSRC }, }, { 11649 /* xvsubdp */, PPC::XVSUBDP, Convert__RegVSRC1_0__RegVSRC1_1__RegVSRC1_2, 0, { MCK_RegVSRC, MCK_RegVSRC, MCK_RegVSRC }, }, { 11657 /* xvsubsp */, PPC::XVSUBSP, Convert__RegVSRC1_0__RegVSRC1_1__RegVSRC1_2, 0, { MCK_RegVSRC, MCK_RegVSRC, MCK_RegVSRC }, }, { 11665 /* xvtdivdp */, PPC::XVTDIVDP, Convert__RegCRRC1_0__RegVSRC1_1__RegVSRC1_2, 0, { MCK_RegCRRC, MCK_RegVSRC, MCK_RegVSRC }, }, { 11674 /* xvtdivsp */, PPC::XVTDIVSP, Convert__RegCRRC1_0__RegVSRC1_1__RegVSRC1_2, 0, { MCK_RegCRRC, MCK_RegVSRC, MCK_RegVSRC }, }, { 11683 /* xvtsqrtdp */, PPC::XVTSQRTDP, Convert__RegCRRC1_0__RegVSRC1_1, 0, { MCK_RegCRRC, MCK_RegVSRC }, }, { 11693 /* xvtsqrtsp */, PPC::XVTSQRTSP, Convert__RegCRRC1_0__RegVSRC1_1, 0, { MCK_RegCRRC, MCK_RegVSRC }, }, { 11703 /* xxland */, PPC::XXLAND, Convert__RegVSRC1_0__RegVSRC1_1__RegVSRC1_2, 0, { MCK_RegVSRC, MCK_RegVSRC, MCK_RegVSRC }, }, { 11710 /* xxlandc */, PPC::XXLANDC, Convert__RegVSRC1_0__RegVSRC1_1__RegVSRC1_2, 0, { MCK_RegVSRC, MCK_RegVSRC, MCK_RegVSRC }, }, { 11718 /* xxleqv */, PPC::XXLEQV, Convert__RegVSRC1_0__RegVSRC1_1__RegVSRC1_2, 0, { MCK_RegVSRC, MCK_RegVSRC, MCK_RegVSRC }, }, { 11725 /* xxlnand */, PPC::XXLNAND, Convert__RegVSRC1_0__RegVSRC1_1__RegVSRC1_2, 0, { MCK_RegVSRC, MCK_RegVSRC, MCK_RegVSRC }, }, { 11733 /* xxlnor */, PPC::XXLNOR, Convert__RegVSRC1_0__RegVSRC1_1__RegVSRC1_2, 0, { MCK_RegVSRC, MCK_RegVSRC, MCK_RegVSRC }, }, { 11740 /* xxlor */, PPC::XXLOR, Convert__RegVSRC1_0__RegVSRC1_1__RegVSRC1_2, 0, { MCK_RegVSRC, MCK_RegVSRC, MCK_RegVSRC }, }, { 11746 /* xxlorc */, PPC::XXLORC, Convert__RegVSRC1_0__RegVSRC1_1__RegVSRC1_2, 0, { MCK_RegVSRC, MCK_RegVSRC, MCK_RegVSRC }, }, { 11753 /* xxlxor */, PPC::XXLXOR, Convert__RegVSRC1_0__RegVSRC1_1__RegVSRC1_2, 0, { MCK_RegVSRC, MCK_RegVSRC, MCK_RegVSRC }, }, { 11760 /* xxmrghd */, PPC::XXPERMDI, Convert__RegVSRC1_0__RegVSRC1_1__RegVSRC1_2__imm_95_0, 0, { MCK_RegVSRC, MCK_RegVSRC, MCK_RegVSRC }, }, { 11768 /* xxmrghw */, PPC::XXMRGHW, Convert__RegVSRC1_0__RegVSRC1_1__RegVSRC1_2, 0, { MCK_RegVSRC, MCK_RegVSRC, MCK_RegVSRC }, }, { 11776 /* xxmrgld */, PPC::XXPERMDI, Convert__RegVSRC1_0__RegVSRC1_1__RegVSRC1_2__imm_95_3, 0, { MCK_RegVSRC, MCK_RegVSRC, MCK_RegVSRC }, }, { 11784 /* xxmrglw */, PPC::XXMRGLW, Convert__RegVSRC1_0__RegVSRC1_1__RegVSRC1_2, 0, { MCK_RegVSRC, MCK_RegVSRC, MCK_RegVSRC }, }, { 11792 /* xxpermdi */, PPC::XXPERMDI, Convert__RegVSRC1_0__RegVSRC1_1__RegVSRC1_2__U2Imm1_3, 0, { MCK_RegVSRC, MCK_RegVSRC, MCK_RegVSRC, MCK_U2Imm }, }, { 11801 /* xxsel */, PPC::XXSEL, Convert__RegVSRC1_0__RegVSRC1_1__RegVSRC1_2__RegVSRC1_3, 0, { MCK_RegVSRC, MCK_RegVSRC, MCK_RegVSRC, MCK_RegVSRC }, }, { 11807 /* xxsldwi */, PPC::XXSLDWI, Convert__RegVSRC1_0__RegVSRC1_1__RegVSRC1_2__U2Imm1_3, 0, { MCK_RegVSRC, MCK_RegVSRC, MCK_RegVSRC, MCK_U2Imm }, }, { 11815 /* xxspltd */, PPC::XXPERMDI, Convert__RegVSRC1_0__RegVSRC1_1__RegVSRC1_1__imm_95_0, 0, { MCK_RegVSRC, MCK_RegVSRC, MCK_0 }, }, { 11815 /* xxspltd */, PPC::XXPERMDI, Convert__RegVSRC1_0__RegVSRC1_1__RegVSRC1_1__imm_95_3, 0, { MCK_RegVSRC, MCK_RegVSRC, MCK_1 }, }, { 11823 /* xxspltw */, PPC::XXSPLTW, Convert__RegVSRC1_0__RegVSRC1_1__U2Imm1_2, 0, { MCK_RegVSRC, MCK_RegVSRC, MCK_U2Imm }, }, { 11831 /* xxswapd */, PPC::XXPERMDI, Convert__RegVSRC1_0__RegVSRC1_1__RegVSRC1_1__imm_95_2, 0, { MCK_RegVSRC, MCK_RegVSRC }, }, }; unsigned PPCAsmParser:: MatchInstructionImpl(const OperandVector &Operands, MCInst &Inst, uint64_t &ErrorInfo, bool matchingInlineAsm, unsigned VariantID) { // Eliminate obvious mismatches. if (Operands.size() > 7) { ErrorInfo = 7; return Match_InvalidOperand; } // Get the current feature set. uint64_t AvailableFeatures = getAvailableFeatures(); // Get the instruction mnemonic, which is the first token. StringRef Mnemonic = ((PPCOperand&)*Operands[0]).getToken(); // Process all MnemonicAliases to remap the mnemonic. applyMnemonicAliases(Mnemonic, AvailableFeatures, VariantID); // Some state to try to produce better error messages. bool HadMatchOtherThanFeatures = false; bool HadMatchOtherThanPredicate = false; unsigned RetCode = Match_InvalidOperand; uint64_t MissingFeatures = ~0ULL; // Set ErrorInfo to the operand that mismatches if it is // wrong for all instances of the instruction. ErrorInfo = ~0ULL; // Find the appropriate table for this asm variant. const MatchEntry *Start, *End; switch (VariantID) { default: llvm_unreachable("invalid variant!"); case 0: Start = std::begin(MatchTable0); End = std::end(MatchTable0); break; } // Search the table. auto MnemonicRange = std::equal_range(Start, End, Mnemonic, LessOpcode()); // Return a more specific error code if no mnemonics match. if (MnemonicRange.first == MnemonicRange.second) return Match_MnemonicFail; for (const MatchEntry *it = MnemonicRange.first, *ie = MnemonicRange.second; it != ie; ++it) { // equal_range guarantees that instruction mnemonic matches. assert(Mnemonic == it->getMnemonic()); bool OperandsValid = true; for (unsigned i = 0; i != 6; ++i) { auto Formal = static_cast(it->Classes[i]); if (i+1 >= Operands.size()) { OperandsValid = (Formal == InvalidMatchClass); if (!OperandsValid) ErrorInfo = i+1; break; } MCParsedAsmOperand &Actual = *Operands[i+1]; unsigned Diag = validateOperandClass(Actual, Formal); if (Diag == Match_Success) continue; // If the generic handler indicates an invalid operand // failure, check for a special case. if (Diag == Match_InvalidOperand) { Diag = validateTargetOperandClass(Actual, Formal); if (Diag == Match_Success) continue; } // If this operand is broken for all of the instances of this // mnemonic, keep track of it so we can report loc info. // If we already had a match that only failed due to a // target predicate, that diagnostic is preferred. if (!HadMatchOtherThanPredicate && (it == MnemonicRange.first || ErrorInfo <= i+1)) { ErrorInfo = i+1; // InvalidOperand is the default. Prefer specificity. if (Diag != Match_InvalidOperand) RetCode = Diag; } // Otherwise, just reject this instance of the mnemonic. OperandsValid = false; break; } if (!OperandsValid) continue; if ((AvailableFeatures & it->RequiredFeatures) != it->RequiredFeatures) { HadMatchOtherThanFeatures = true; uint64_t NewMissingFeatures = it->RequiredFeatures & ~AvailableFeatures; if (countPopulation(NewMissingFeatures) <= countPopulation(MissingFeatures)) MissingFeatures = NewMissingFeatures; continue; } Inst.clear(); if (matchingInlineAsm) { Inst.setOpcode(it->Opcode); convertToMapAndConstraints(it->ConvertFn, Operands); return Match_Success; } // We have selected a definite instruction, convert the parsed // operands into the appropriate MCInst. convertToMCInst(it->ConvertFn, Inst, it->Opcode, Operands); // We have a potential match. Check the target predicate to // handle any context sensitive constraints. unsigned MatchResult; if ((MatchResult = checkTargetMatchPredicate(Inst)) != Match_Success) { Inst.clear(); RetCode = MatchResult; HadMatchOtherThanPredicate = true; continue; } std::string Info; if (MII.get(Inst.getOpcode()).getDeprecatedInfo(Inst, getSTI(), Info)) { SMLoc Loc = ((PPCOperand&)*Operands[0]).getStartLoc(); getParser().Warning(Loc, Info, None); } return Match_Success; } // Okay, we had no match. Try to return a useful error code. if (HadMatchOtherThanPredicate || !HadMatchOtherThanFeatures) return RetCode; // Missing feature matches return which features were missing ErrorInfo = MissingFeatures; return Match_MissingFeature; } #endif // GET_MATCHER_IMPLEMENTATION