diff --git a/README.md b/README.md index 9f6e083..a63c506 100644 --- a/README.md +++ b/README.md @@ -58,4 +58,8 @@ of the kernel memory which you can then use with ReadProcessMemory/WriteProcessM new_addr.pt_index = old_addr.pt_index; return reinterpret_cast(new_addr.value); } -``` \ No newline at end of file +``` + +# Warning + +WriteProcessMemory does not work right now since NtWriteVirtualMemory --> MmCopyVirtualMemory --> MmProbeAndLockPages <--- this fails. https://githacks.org/_xeroxz/kmem/-/issues/1 \ No newline at end of file